国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

vcs+verdi仿真Verilog代碼

這篇具有很好參考價值的文章主要介紹了vcs+verdi仿真Verilog代碼。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

我們以一個簡單的加法器為例,來看下如何用vcs+verdi仿真Verilog文件并查看波形。

源文件內(nèi)容如下:

//adder.v
module adder(
 input          clk,
 input          rst,
 input   [9:0]  A,
 input   [9:0]  B,
 output reg [10:0] C
);

always @ ( posedge clk ) begin
    if(rst)
        C <= #`FFD 'b0;
    else
        C <= #`FFD A + B;
end

endmodule

我們再定義一個宏定義的文件:

//macro_define.sv
`define FFD 1ns

我們需要再定義一個testbench文件:

//test.sv
module test;

reg clk;
reg rst;
reg [9:0] A;
reg [9:0] B;
wire [10:0] C;

initial begin
    rst = 1;
    A = 0;
    B = 0;
    #1us;
    rst = 0;
    #1us;
    A = 10'd100;
    B = 10'd200;
    #1us;
    A = 10'd300;
    B = 10'd400;
        #20us;
        $finish;
end

initial begin
    clk = 0;
    forever  #10ns clk <= ~clk;
end

adder add_inst(
    .clk(clk),
    .rst(rst),
    .A(A),
    .B(B),
    .C(C)
);

`ifdef DUMP_FSDB
    initial begin 
                $fsdbDumpfile("tb.fsdb");
        $fsdbDumpvars("+all");

        //string testname;
        //if($value$plusargs("TESTNAME=%s", testname)) begin
        //    $fsdbDumpfile({testname, "_sim_dir/", testname, ".fsdb"});
        //end else begin
        //    $fsdbDumpfile("tb.fsdb");
        //end
    end 
`endif 

endmodule

再定義一個filelist文件:dut.f

./macro_define.sv
./adder.v
./test.sv

最后就是需要一個Makefile文件了:

#!/bin/make
all: comp sim

comp:
        vcs -full64 -timescale=1ns/1ps -V -R -sverilog \
        -debug_access+all +vc +v2k -kdb \
        -l vcs.log \
        -f dut.f +define+DUMP_FSDB=1 \
        -top test 

sim:
        ./simv -l simv.log

clean:
        rm -rf *~  core  csrc  simv*  vc_hdrs.h  ucli.key  urg* *.log  novas.* *.fsdb* verdiLog  64* DVEfiles *.vpd

總的文件如下:

vcs+verdi仿真Verilog代碼,fpga開發(fā),Verilog,VCS

執(zhí)行make all

vcs+verdi仿真Verilog代碼,fpga開發(fā),Verilog,VCS

跑完后如下:

vcs+verdi仿真Verilog代碼,fpga開發(fā),Verilog,VCS

生成的文件如下:

vcs+verdi仿真Verilog代碼,fpga開發(fā),Verilog,VCS

用verdi打開波形:verdi -ssf tb.fsdb

vcs+verdi仿真Verilog代碼,fpga開發(fā),Verilog,VCS

可以看到波形如下:

vcs+verdi仿真Verilog代碼,fpga開發(fā),Verilog,VCS

FPGA技術(shù)交流群:公眾號:傅里葉的貓文章來源地址http://www.zghlxwxcb.cn/news/detail-639075.html

到了這里,關(guān)于vcs+verdi仿真Verilog代碼的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實不符,請點擊違法舉報進(jìn)行投訴反饋,一經(jīng)查實,立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • linux環(huán)境下vcs+verdi的使用

    linux環(huán)境下vcs+verdi的使用

    今日感想:學(xué)習(xí)只能慢慢搭積木,想一步登天可不行啊 在vcs仿真時調(diào)用$dump函數(shù)dump出fsdb文件,隨后verdi load filelist和fsdb文件來進(jìn)行debug 1、 vcs兩步仿真:先編譯文件,生成simv可執(zhí)行文件;后進(jìn)行仿真 (compilation and simulation) VCS:Verilog Compiler Simulator 問題一:當(dāng)設(shè)計比較大的

    2024年02月11日
    瀏覽(20)
  • VCS與Verdi聯(lián)仿,簡要萬能工程模板,持續(xù)更新中...

    VCS與Verdi聯(lián)仿,簡要萬能工程模板,持續(xù)更新中...

    一、背景 學(xué)習(xí)verilog,故用vcs來編譯verilog,用verdi來查看波形。 提供一套簡要verilog工程模板去執(zhí)行教程中代碼,并分析波形。 二、編寫工程模塊 建立工程在temp文件夾下新建文件夾/rtl、/sim、/tb,如下: 在/rtl文件夾下新建兩個.v文件: template.v和timescale.v ,為模板rtl代碼。

    2024年02月12日
    瀏覽(45)
  • linux VCS+verdi運(yùn)行UVM實戰(zhàn)(第二章)中的例子

    linux VCS+verdi運(yùn)行UVM實戰(zhàn)(第二章)中的例子

    目錄 前言 介紹 建立工程 運(yùn)行代碼 查看波形 總結(jié) 前言 用VCS+verdi運(yùn)行了下UVM實戰(zhàn)中的例子(第二章)。 在某寶上花了幾十塊,買了個虛擬機(jī)(已經(jīng)安裝好VCS+verdi)。直接用UVM實戰(zhàn)中,現(xiàn)成的uvm代碼跑了下。 UVM實戰(zhàn)源碼下載地址:UVM實戰(zhàn)源碼下載 書中DUT的功能:通過rxd接收

    2023年04月08日
    瀏覽(21)
  • vcs仿真教程(查看斷言)

    vcs仿真教程(查看斷言)

    ????????VCS是在linux下面用來進(jìn)行仿真看波形的工具,類似于windows下面的modelsim以及questasim等工具,以及quartus、vivado仿真的操作。 vcs的常見指令后綴 sim常見指令 命令:mkdir +文件名,例如:mkdir tst 然后直接把設(shè)計文件和仿真文件拷貝到tst7這個文件夾里。 打開終端,輸入

    2024年02月10日
    瀏覽(49)
  • EDA07--VCS仿真驗證(一)

    EDA07--VCS仿真驗證(一)

    VCS用于在Linux下仿真.v代碼,vcs六大功能: ·System Verilog ·OVA ·NTB ·DVE調(diào)試環(huán)境 ·覆蓋率統(tǒng)計 ·DirectC ·增量編譯 ·64-bit模式 ·混合信號仿真 本文講解VCS的知識內(nèi)容,具體操作步驟單獨寫一篇… VCS-DVE仿真由三步構(gòu)成: 編譯、仿真、調(diào)試 。提前編寫好設(shè)計文件和Testbench的.v文件。

    2024年02月08日
    瀏覽(16)
  • Vivado工程怎么用VCS仿真

    Vivado工程怎么用VCS仿真

    在進(jìn)行數(shù)字電路設(shè)計的的時候,我們用Vivado寫了一個工程,但是大家都知道Vivado自帶的仿真是很拉胯的,信號多了就很慢很不方便,很容易卡死,所以就需要用VCS去進(jìn)行仿真,有2種方法。 1.首先也是最重要的一步,你需要把Vivado的所有的IP編譯成VCS可以識別的庫文件,因為你

    2023年04月08日
    瀏覽(23)
  • 邏輯仿真工具VCS的使用-Makefile

    邏輯仿真工具VCS的使用-Makefile

    ????????Gvim寫RTL code,VCS仿真,Verdi看波形,DC做綜合下約束,Primetime做STA,Spyglass做異步時序分析。? ???????? ?VCS全稱Verilog Computer Simulation ,VCS是邏輯仿真EDA工具的編譯源代碼的命令。要用VCS做編譯仿真,首先得有一個RTL代碼,比如我們寫了一個全加器和全加器的

    2024年02月02日
    瀏覽(24)
  • 【數(shù)字IC設(shè)計】VCS仿真DesignWare IP

    【數(shù)字IC設(shè)計】VCS仿真DesignWare IP

    DesignWare是SoC/ASIC設(shè)計者最鐘愛的設(shè)計IP庫和驗證IP庫。它包括一個獨立于工藝的、經(jīng)驗證的、可綜合的虛擬微架構(gòu)的元件集合,包括邏輯、算術(shù)、存儲和專用元件系列,超過140個模塊。DesignWare和 Design Compiler的結(jié)合可以極大地改進(jìn)綜合的結(jié)果,并縮短設(shè)計周期。Synopsys在DesignW

    2024年02月14日
    瀏覽(22)
  • 新手教程01:邏輯仿真工具VCS的基礎(chǔ)使用

    新手教程01:邏輯仿真工具VCS的基礎(chǔ)使用

    目錄 前言 利用圖形化界面的方法使用VCS 1. 新建文件夾,存放需要仿真的Verilog源代碼和testbench測試文件? 2.?使用cd命令進(jìn)入該文件夾路徑下,對需要編譯的文件生成file.list文件 3. 使用vcs命令編譯仿真需要的verilog代碼 4. 啟動VCS圖形化界面 5. 進(jìn)行仿真,生成波形 總結(jié) 零基礎(chǔ)

    2024年02月09日
    瀏覽(20)
  • 基于vcs+uvm+xilinx ip的仿真平臺的半自動化搭建

    基于vcs+uvm+xilinx ip的仿真平臺的半自動化搭建

    系 統(tǒng):ubuntu 18.04 仿真平臺:vcs_2018.09-SP2 開發(fā)平臺:vivado 2019.2 本文的主要目的是自動化搭建基于vcs+uvm+xilinx ip的仿真平臺,節(jié)省平臺搭建的時間與精力。 拿到一個項目,一般的平臺搭建的步驟:去網(wǎng)上找一個makefile腳本(或者使用原項目腳本),修改相應(yīng)的軟件路徑,添加

    2024年01月18日
    瀏覽(20)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包