国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

新手教程03:通過makefile腳本使用VCS+Verdi進(jìn)行聯(lián)合仿真

這篇具有很好參考價(jià)值的文章主要介紹了新手教程03:通過makefile腳本使用VCS+Verdi進(jìn)行聯(lián)合仿真。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請(qǐng)大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

目錄

前言

makefile腳本的方式使用VCS

1. 自動(dòng)生成 .fsdb 文件

2. 生成 filelist.f 文件

3. 書寫 makefile 腳本

4. termial 中運(yùn)行仿真

5. verdi 的快捷操作

總結(jié)


前言

零基礎(chǔ)初學(xué)數(shù)字IC,學(xué)會(huì)什么寫什么,與大家一起進(jìn)步。

本文主要介紹如何通過makefile腳本的方式用VCS+Verdi進(jìn)行聯(lián)合仿真。


makefile腳本的方式使用VCS

1. 自動(dòng)生成 .fsdb 文件

因?yàn)橐褂胿erdi進(jìn)行仿真,所以我們需要在進(jìn)行仿真之前,在testbench文件中添加如下代碼,以便生成 .fsdb 文件,以便 verdi 進(jìn)行仿真。

'ifdef   FSDB
initial  begin
    $fsdbDumpfile(XXX.fsdb);
    $fsdbDumpvars(0);
    $fsdbDumpMDA;
end
'endif

verdi腳本,數(shù)字IC新手教程,linux

  • $fsdbDumpfile(XXX.fsdb); : 用于定義 .fsdb 波形文件;
  • $fsdbDumpvars; : 用于定義Dump 的變量;這里再詳細(xì)介紹一下這一條命令,完整的格式應(yīng)該是?$fsdbDumpvar(depth, instance, parameter);
  • depth=0 表示dump instance下所有層次的信號(hào),depth=1 表示只dump instance的信號(hào);
  • instance 可以指定dump 的 hierarchy;
  • parameter 表示dump時(shí)可以選擇的一些選項(xiàng),"+mda" 表示dump memory 和 多維數(shù)組的數(shù)據(jù);"+all" 表示 dump 所有信號(hào);
  • $fsdbDumpMDA; : 添加這一句可以用于查看寄存器變量,配合vcs命令使用。

當(dāng)需要查看多維數(shù)組的數(shù)據(jù)的時(shí)候,可以參考如下所示的方法:

verdi腳本,數(shù)字IC新手教程,linux

2. 生成 filelist.f 文件

find -name '*.v' > filelist.f

3. 書寫 makefile 腳本

all: clean com sim verdi

clean:
    rm -rf 

com:
    vcs -full64 -f filelist.f -R +v2k -debug_access+all -timescale=1ns/1ns -fsdb +define+FSDB -l com.log

sim:
    ./simv -l sim.log

verdi:
    verdi -f filelist.f -ssf *.fsdb -nologo &

-full64:支持64位模式下的編譯仿真;

-R:編譯后立即執(zhí)行仿真;

+v2k:支持2001 verilog標(biāo)準(zhǔn);

-f filelist.f : 讀入filelist.f 文件(包含文件列表的文件);

-debug_access+all:打開debug開關(guān);

-timescale=1ns/1ns:定義仿真精度;

-fsdb :dump fsdb波形;

+define+FSDB:使用源代碼中`ifdef所定義的宏;

-ssf? *.fsdb : 打開 .fsdb 波形文件;

-nologo : 不顯示軟件歡迎界面;

& :在后臺(tái)打開 verdi,不占用當(dāng)前 terminal ;

4. termial 中運(yùn)行仿真

verdi腳本,數(shù)字IC新手教程,linux

命令運(yùn)行之后,verdi 界面自動(dòng)打開,如下:

verdi腳本,數(shù)字IC新手教程,linux

5. verdi 的快捷操作

verdi腳本,數(shù)字IC新手教程,linux

  • ?顯示波形:鼠標(biāo)拖動(dòng)信號(hào)至nwave界面;模塊界面按 ctrl+4;代碼界面按 ctrl+w;
  • ntrace 界面按 X,可以顯示信號(hào)的具體值,方便 debug;
  • 全局波形:F? ? ?放大波形:拖動(dòng)鼠標(biāo)? ? ? ? 縮小波形:Z
  • 顯示信號(hào)結(jié)構(gòu):H;
  • 標(biāo)記時(shí)間節(jié)點(diǎn):shift + M ;
  • 信號(hào)保存:shift + S,信號(hào)恢復(fù):r

6. 使用verdi進(jìn)行debug

  • 當(dāng)我們使用verdi進(jìn)行debug的過程中,難免會(huì)遇到需要修改代碼的時(shí)候,如果在終端使用make all 命令時(shí),會(huì)發(fā)現(xiàn)系統(tǒng)重新打開了一個(gè)verdi窗口,我們之前已經(jīng)添加到波形窗口的波形全部消失不見了,又需要重新添加波形然后再進(jìn)行分析,這樣非常的繁瑣。這里提供一個(gè)便捷的方法:不用關(guān)閉verdi窗口,修改設(shè)計(jì)后,輸入如下所示的命令,然后在verdi窗口中按shift+L 進(jìn)行刷新即可。
    vcs -full64 -R -fsdb +define+FSDB -f filelist.f

????????參考文章:VCS與Verdi工具初體驗(yàn) - 知乎 (zhihu.com)


總結(jié)

以上就是利用makefile腳本方式使用 VCS+Verdi 聯(lián)合仿真的方法,筆記如果有錯(cuò)誤的地方,歡迎大家留言糾正~文章來源地址http://www.zghlxwxcb.cn/news/detail-641224.html

到了這里,關(guān)于新手教程03:通過makefile腳本使用VCS+Verdi進(jìn)行聯(lián)合仿真的文章就介紹完了。如果您還想了解更多內(nèi)容,請(qǐng)?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場(chǎng)。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請(qǐng)注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請(qǐng)點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • 邏輯仿真工具VCS的使用-Makefile

    邏輯仿真工具VCS的使用-Makefile

    ????????Gvim寫RTL code,VCS仿真,Verdi看波形,DC做綜合下約束,Primetime做STA,Spyglass做異步時(shí)序分析。? ???????? ?VCS全稱Verilog Computer Simulation ,VCS是邏輯仿真EDA工具的編譯源代碼的命令。要用VCS做編譯仿真,首先得有一個(gè)RTL代碼,比如我們寫了一個(gè)全加器和全加器的

    2024年02月02日
    瀏覽(24)
  • vcs+verdi仿真Verilog代碼

    vcs+verdi仿真Verilog代碼

    我們以一個(gè)簡(jiǎn)單的加法器為例,來看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件內(nèi)容如下: 我們?cè)俣x一個(gè)宏定義的文件: 我們需要再定義一個(gè)testbench文件: 再定義一個(gè)filelist文件: dut.f 最后就是需要一個(gè)Makefile文件了: 總的文件如下: 執(zhí)行 make all : 跑完后如下

    2024年02月13日
    瀏覽(15)
  • 【VCS+Verdi聯(lián)合仿真】~ 以計(jì)數(shù)器為例

    【VCS+Verdi聯(lián)合仿真】~ 以計(jì)數(shù)器為例

    首先,先聲明一下,我寫這篇博客的時(shí)候我就是一個(gè)純小白,實(shí)不相瞞,剛剛學(xué)了一天,哈哈哈,沒錯(cuò),你沒看錯(cuò),就是一天?。?!主要是因?yàn)榍疤煲驗(yàn)樗㈩}和找工作的需要,需要熟悉VCS和Verdi的聯(lián)合仿真(據(jù)說是很好用,隨大流唄!?。。?,所以才會(huì)有接下來的一些相關(guān)博

    2023年04月12日
    瀏覽(26)
  • VCS與Verdi聯(lián)仿,簡(jiǎn)要萬能工程模板,持續(xù)更新中...

    VCS與Verdi聯(lián)仿,簡(jiǎn)要萬能工程模板,持續(xù)更新中...

    一、背景 學(xué)習(xí)verilog,故用vcs來編譯verilog,用verdi來查看波形。 提供一套簡(jiǎn)要verilog工程模板去執(zhí)行教程中代碼,并分析波形。 二、編寫工程模塊 建立工程在temp文件夾下新建文件夾/rtl、/sim、/tb,如下: 在/rtl文件夾下新建兩個(gè).v文件: template.v和timescale.v ,為模板rtl代碼。

    2024年02月12日
    瀏覽(45)
  • linux VCS+verdi運(yùn)行UVM實(shí)戰(zhàn)(第二章)中的例子

    linux VCS+verdi運(yùn)行UVM實(shí)戰(zhàn)(第二章)中的例子

    目錄 前言 介紹 建立工程 運(yùn)行代碼 查看波形 總結(jié) 前言 用VCS+verdi運(yùn)行了下UVM實(shí)戰(zhàn)中的例子(第二章)。 在某寶上花了幾十塊,買了個(gè)虛擬機(jī)(已經(jīng)安裝好VCS+verdi)。直接用UVM實(shí)戰(zhàn)中,現(xiàn)成的uvm代碼跑了下。 UVM實(shí)戰(zhàn)源碼下載地址:UVM實(shí)戰(zhàn)源碼下載 書中DUT的功能:通過rxd接收

    2023年04月08日
    瀏覽(21)
  • 阿里云賬戶新手實(shí)名認(rèn)證教程(超簡(jiǎn)單秒通過)

    阿里云賬戶新手實(shí)名認(rèn)證教程(超簡(jiǎn)單秒通過)

    阿里云賬號(hào)注冊(cè)后需要實(shí)名認(rèn)證后才可以使用,阿里云百科教大家快速實(shí)名認(rèn)證的方法,不需要上傳身份證的等信息,以個(gè)人認(rèn)證為例,直接拿你當(dāng)支付寶掃一下即可通過實(shí)名認(rèn)證,阿里云百科來詳細(xì)說下阿里云個(gè)人或企業(yè)實(shí)名認(rèn)證方法: 阿里云賬號(hào)注冊(cè)成功后需要實(shí)名認(rèn)證

    2023年04月09日
    瀏覽(19)
  • 【文檔+視頻】Verdi基礎(chǔ)教程

    【文檔+視頻】Verdi基礎(chǔ)教程

    目錄 前言 1.Verdi 環(huán)境配置 2.VCS 產(chǎn)生Verdi 波形 1、tb中加入相應(yīng)的系統(tǒng)函數(shù) 2、makefile中加入相應(yīng)的選項(xiàng)? 3.nTrace 1、如何調(diào)用Verdi? 【重點(diǎn)】 2、如何查看包含的設(shè)計(jì)架構(gòu)? ?3、如何查尋模塊實(shí)例化的位置?【重點(diǎn)】 4、在nTrace中如何查找? 模塊和信號(hào)?【重點(diǎn)】 5、如何查尋字

    2024年01月21日
    瀏覽(39)
  • 華為云Elasticsearch(FusionInsight HD)連接和開發(fā)教程03-通過HighLevel RestClient操作ES

    通過典型場(chǎng)景,我們可以快速學(xué)習(xí)和掌握Elasticsearch的開發(fā)過程,并且對(duì)關(guān)鍵的接口函數(shù)有所了解。 場(chǎng)景說明 假定用戶開發(fā)一個(gè)應(yīng)用程序,用于搜索所有圖書信息,并要求提供搜索相關(guān)的圖書,并打分按分排序,其中搜索的功能就可以用Elasticsearch來實(shí)現(xiàn),搜索流

    2024年02月02日
    瀏覽(19)
  • Linux:編寫編譯腳本Makefile文件

    Linux:編寫編譯腳本Makefile文件

    一、生成可執(zhí)行文件 1、一個(gè)源文件編譯 本例子主要區(qū)別.c及.cpp文件及編譯該文件時(shí)使用的編譯鏈。 1).c文件 2) .cpp文件 經(jīng)過以上測(cè)試,總結(jié)如下: 以.cpp為后綴的文件,為c++代碼。代碼最好使用C++語言。也可以使用c語言。 a 代碼使用c++語言,編譯時(shí)必須要用g++,不能使用

    2024年02月11日
    瀏覽(19)
  • vcs仿真教程(查看斷言)

    vcs仿真教程(查看斷言)

    ????????VCS是在linux下面用來進(jìn)行仿真看波形的工具,類似于windows下面的modelsim以及questasim等工具,以及quartus、vivado仿真的操作。 vcs的常見指令后綴 sim常見指令 命令:mkdir +文件名,例如:mkdir tst 然后直接把設(shè)計(jì)文件和仿真文件拷貝到tst7這個(gè)文件夾里。 打開終端,輸入

    2024年02月10日
    瀏覽(49)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請(qǐng)作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包