国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

VHDL語言基礎(chǔ)-時序邏輯電路-鎖存器

這篇具有很好參考價值的文章主要介紹了VHDL語言基礎(chǔ)-時序邏輯電路-鎖存器。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

目錄

鎖存器的設(shè)計:

RS鎖存器:

真值表:

電路結(jié)構(gòu)圖:

RS鎖存器的仿真波形如下:

D鎖存器:

D鎖存器的仿真波形如下:


鎖存器的設(shè)計:

為了與觸發(fā)器相類比,我們先介紹鎖存器。鎖存器是一種電平敏感的寄存器,典型的例子有RS鎖存器與D鎖存器。

RS鎖存器:

真值表:

rs鎖存器真值表,# VHDL,fpga開發(fā),VHDL,FPGA,學(xué)習(xí),嵌入式硬件

電路結(jié)構(gòu)圖:

rs鎖存器真值表,# VHDL,fpga開發(fā),VHDL,FPGA,學(xué)習(xí),嵌入式硬件

Library? ieee;

Use? ieee.std_logic_1164.all;

Entity? SR_latch2? is

??? port ( S, R: in? std_logic ;

???????????? Q, Qbar :out? std_logic);

End? SR_latch2;

Architecture? behav? of?? R_latch2? is

Begin

?process ( R , S ) is

????? variable rs: std_logic_vector(1 downto 0);

begin

????? rs:=R&S;

????? case rs is

????????? when "00" => Q<='1'; Qbar<='1';

????????? when "01" => Q<='1'; Qbar<='0';

????????? when "10" => Q<='0'; Qbar<='1';

????????? when others=>null;

?????? end case;

?? end process;

end behav;

注意:順序結(jié)構(gòu)中的Null狀態(tài)等同于并行結(jié)構(gòu)中的Unaffected。

RS鎖存器的仿真波形如下:

rs鎖存器真值表,# VHDL,fpga開發(fā),VHDL,FPGA,學(xué)習(xí),嵌入式硬件

由圖可見,由于在時序仿真中有器件的延時,鎖存器的狀態(tài)變化遲于輸入信號的變化


D鎖存器:

D鎖存器與RS鎖存器類似,只是在功能上實現(xiàn)的目的不同

rs鎖存器真值表,# VHDL,fpga開發(fā),VHDL,FPGA,學(xué)習(xí),嵌入式硬件

Library? ieee;

Use? ieee.std_logic_1164.all;

Entity? D_latch? is

???? port ( D, Enable: in? std_logic ;

?????????????? Q: out? std_logic );

End? D_latch;

Architecture? behav? of?? D_latch? is

Begin

????? process(D, Enable)

????? begin

?????????? if (Enable=‘1’) then? Q<=D;

?????????? end? if;

????? end? process;

End? behav;

D鎖存器通過條件涵蓋不完整的if語句 產(chǎn)生寄存器;
敏感參數(shù)表包含D、Enable,綜合后? 形成一個電平觸發(fā)的鎖存器

D鎖存器的仿真波形如下:

rs鎖存器真值表,# VHDL,fpga開發(fā),VHDL,FPGA,學(xué)習(xí),嵌入式硬件

當(dāng)ENABLE=‘1’時,Q輸出為D的輸入值,否則Q保持不變。文章來源地址http://www.zghlxwxcb.cn/news/detail-717703.html

到了這里,關(guān)于VHDL語言基礎(chǔ)-時序邏輯電路-鎖存器的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實不符,請點擊違法舉報進(jìn)行投訴反饋,一經(jīng)查實,立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • VHDL語言基礎(chǔ)-基本語句

    VHDL語言基礎(chǔ)-基本語句

    目錄 VHDL基本語句: 并行語句: 并行語句常包括以下七種: 賦值語句: 使用格式: 條件賦值語句: 使用格式: 選擇信號賦值語句: 使用格式: 進(jìn)程語句: 使用格式: Example:D觸發(fā)器: 進(jìn)程語句的特點: 元件例化語句: 元件例化語句——Example:4輸入與門 生成語句:

    2023年04月25日
    瀏覽(23)
  • vhdl語言基礎(chǔ)篇-for

    標(biāo)號:for?循環(huán)變量?in?離散范圍 generate ? ? ? ? ? 并行語句; end?generate?標(biāo)號; 代碼示例如下: ?? ?signal data_7p4bit : std_logic_vector(7*4-1 downto 0); ?? ?signal data_7p_bit : std_logic_vector(6 downto 0); ?? ? ?G_04deg : for I in 0 to 6 generate? ?? ? ?process(rst,clk) ?? ? ?begin ?? ??? ? ?if rst=

    2024年02月10日
    瀏覽(21)
  • VHDL語言基礎(chǔ)-數(shù)據(jù)類型及運(yùn)算符

    VHDL語言基礎(chǔ)-數(shù)據(jù)類型及運(yùn)算符

    目錄 VHDL的數(shù)據(jù)類型: VHDL中定義的10種標(biāo)準(zhǔn)數(shù)據(jù)類型: 約束區(qū)間說明: 用戶自定義數(shù)據(jù)類型: 使用格式: 常用的用戶自定義類型: 枚舉類型: 子類型SUBTYPE: 整數(shù)類型和實數(shù)類型: 數(shù)組類型: 記錄類型: 數(shù)據(jù)類型轉(zhuǎn)換: VHDL的運(yùn)算符:? 運(yùn)算符的優(yōu)先級: 注意:字符要區(qū)

    2024年02月09日
    瀏覽(31)
  • 「FPGA」基本時序電路元件——鎖存器和觸發(fā)器

    「FPGA」基本時序電路元件——鎖存器和觸發(fā)器

    FPGA是一種數(shù)字電路實現(xiàn)的方式,它是基于小型查找表(16X1)設(shè)計的,它的兄弟CPLD是基于高密度復(fù)雜組合邏輯設(shè)計的。FPGA的一個優(yōu)點是觸發(fā)器資源豐富,適合實現(xiàn)復(fù)雜的時序設(shè)計。本文將從 門級電路 的角度來介紹時序電路的基本結(jié)構(gòu),鎖存器(Latch)和觸發(fā)器(flip-flop)。

    2024年02月11日
    瀏覽(21)
  • 數(shù)字邏輯基礎(chǔ)實驗二—時序邏輯電路的設(shè)計

    數(shù)字邏輯基礎(chǔ)實驗二—時序邏輯電路的設(shè)計

    實驗?zāi)康?(1)掌握中規(guī)模集成寄存器構(gòu)成的時序邏輯電路的設(shè)計方法。 (2)掌握中規(guī)模集成計數(shù)器設(shè)計N進(jìn)制計數(shù)器的方法。 (3)學(xué)會用時序功能器件構(gòu)成綜合型應(yīng)用電路。 實驗電路 圖 2-1紅綠燈電路 實驗軟件與環(huán)境 軟件 ?Multisim 14.2 環(huán)境 ?Windows 11 專業(yè)版21H2 設(shè)備名稱?

    2023年04月21日
    瀏覽(23)
  • VHDL語言基礎(chǔ)-狀態(tài)機(jī)設(shè)計-ASM圖法狀態(tài)機(jī)設(shè)計

    VHDL語言基礎(chǔ)-狀態(tài)機(jī)設(shè)計-ASM圖法狀態(tài)機(jī)設(shè)計

    目錄 有限狀態(tài)機(jī)的描述方法: ASM圖: 狀態(tài)轉(zhuǎn)移圖: 狀態(tài)轉(zhuǎn)移列表: MDS圖: ASM圖法狀態(tài)機(jī)設(shè)計: ASM圖的組成: 狀態(tài)框: 判斷框: 條件框: 狀態(tài)框與條件框的區(qū)別: 狀態(tài)單元: 用計數(shù)器實現(xiàn)ASM圖: ASM圖的狀態(tài)分配: 狀態(tài)轉(zhuǎn)換表: 由狀態(tài)轉(zhuǎn)換表推導(dǎo)觸發(fā)器的驅(qū)動方程:

    2024年02月03日
    瀏覽(34)
  • 數(shù)字電子技術(shù)基礎(chǔ)-6-時序邏輯電路

    在第五章中,我們學(xué)習(xí)了基本的SR鎖存器(Latch)和各類觸發(fā)器(Filp Flop),它們賦予了電路存儲的功能,該功能是本章時序電路所依賴的東西。 這是一個循序漸進(jìn)的過程,同學(xué)們要把握每一章節(jié)的基本思想與核心知識點,把各章節(jié)之間的聯(lián)系捋清楚,從而達(dá)到事半功倍的效果。

    2024年02月10日
    瀏覽(53)
  • 「數(shù)字電子技術(shù)基礎(chǔ)」7.時序邏輯電路

    「數(shù)字電子技術(shù)基礎(chǔ)」7.時序邏輯電路

    時序邏輯電路和組合邏輯電路的區(qū)別就在于,時序邏輯電路的輸出不僅取決于當(dāng)前的輸入,還取決于當(dāng)前電路的狀態(tài)甚至之前電路的狀態(tài)。也就是說時序邏輯電路有某一個 反饋/存儲結(jié)構(gòu) 。 因此可以用三組方程來描述一個時序邏輯電路: 輸出方程。也就是輸出變量和輸入變

    2024年02月02日
    瀏覽(36)
  • IC驗證必備的數(shù)字電路基礎(chǔ)知識(三):時序邏輯電路

    IC驗證必備的數(shù)字電路基礎(chǔ)知識(三):時序邏輯電路

    參考教材:數(shù)字電路與邏輯設(shè)計(第2版)鄔春明 目錄 1. 邊沿觸發(fā)器(D觸發(fā)器) 2. 時序電路描述/構(gòu)成 3. 時序邏輯電路的功能描述方法 組合邏輯電路掌管了電路中的邏輯運(yùn)算,基本單元是與或非門。而時序邏輯電路則掌管了電路中數(shù)據(jù)的存儲。觸發(fā)器就是構(gòu)成時序邏輯電路

    2024年02月09日
    瀏覽(18)
  • 硬件基礎(chǔ)-時序邏輯電路-觸發(fā)器畫波形圖問題解析

    硬件基礎(chǔ)-時序邏輯電路-觸發(fā)器畫波形圖問題解析

    本文章可能只適合軟件工程專業(yè)對于計算機(jī)硬件基礎(chǔ)。對于專業(yè)數(shù)電的朋友可能就是小巫見大巫了,筆者才疏學(xué)淺,僅供本專業(yè)基礎(chǔ)課程同學(xué)的參考,如有錯誤希望大佬斧正! 先來看下下面這道題: 試畫出圖(a)所示電路中觸發(fā)器輸出Q1、Q2端的波形,CLK的波形如圖(b)所

    2024年02月02日
    瀏覽(17)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包