国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

ZYNQ AC7020C的“點LED”實驗

這篇具有很好參考價值的文章主要介紹了ZYNQ AC7020C的“點LED”實驗。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

一、創(chuàng)建 Vivado 工程
1、啟動 Vivado
2、在 Vivado 開發(fā)環(huán)境里點擊“Create New Project”,創(chuàng)建一個新的工程
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
3、彈出一個建立新工程的向?qū)?,點擊“Next”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
4、在彈出的對話框中輸入工程名和工程存放的目錄。需要注意工程路徑“Project location”不能有中文、空格,路徑名稱也不能太長。此處工程名取為project_1led。
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
5、在工程類型中選擇“RTL Project”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
6、目標(biāo)語言“Target language”選擇“Verilog”,雖然選擇 Verilog,但 VHDL 也可以使用,支持多語言混合編程。
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
7、點擊“Next”,不添加任何文件
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
8、在“Default Part”選項中,器件家族“Family”選擇“Zynq-7000”,封裝類型“Package”選擇“clg400”,減少我們選擇范圍。AC7010 在下拉列表中選擇“xc7z010clg400-1”,AC7020在下拉列表中選擇“xc7z020clg400-2”,“-2”表示速率等級,數(shù)字越大,性能越好,速率高的芯片向下兼容速率低的芯片。
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
9、點擊“Finish”就可以完成以后名為“l(fā)ed”工程的創(chuàng)建。
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
二、創(chuàng)建Verilog HDL 文件點亮 LED
1、點擊 Project Manager 下的 Add Sources 圖標(biāo)(快捷鍵 Alt+A)
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
2、選擇添加或創(chuàng)建設(shè)計源文件“Add or create design sources”,點擊“Next”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
3、選擇創(chuàng)建文件“Create File”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
4、文件名“File name”設(shè)置為“l(fā)ed”,點擊“OK”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
5、點擊“Finish”,完成“l(fā)ed.v”文件添加
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
6、在彈出的模塊定義“Define Module”,中可以指定“l(fā)ed.v”文件的模塊名稱“Module name”,這里默認不變?yōu)椤發(fā)ed”,還可以指定一些端口,此處暫時不指定,點擊“OK”。
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
7、在彈出的對話框中選擇“Yes”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
8、雙擊“l(fā)ed.v”可以打開文件,然后編輯
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
9、編寫“l(fā)ed.v”,這里定義了一個 32 位的寄存器 timer, 用于循環(huán)計數(shù) 0~49999999(1 秒鐘), 計數(shù)到 49999999(1 秒)的時候, 寄存器 timer 變?yōu)?0,并翻轉(zhuǎn)四個 LED。這樣原來 LED 是滅的話,就會點亮,如果原來 LED 為亮的話,就會熄滅。代碼如下:

`timescale 1ns / 1ps
module led(
input sys_clk, output reg led
    );
    reg[31:0] timer_cnt;
    always@(posedge sys_clk)
        begin
        if(timer_cnt >= 32'd49_999_999)
        begin
        led <= ~led;
        timer_cnt <= 32'd0;
        end
    else
        begin
        led <= led;
        timer_cnt <= timer_cnt + 32'd1;
        end
    end
endmodule

10、編寫好代碼后保存,點擊菜單“File -> Save All Files”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
三、添加約束管腳
Vivado 使用的約束文件格式為 xdc 文件,xdc 文件里主要是完成管腳的約束,時鐘的約束, 以及組的約束。這里我們需要對 led.v 程序中的輸入輸出端口分配到 FPGA 的真實管腳上。
1、點擊“Open Elaborated Design”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
2、點擊OK
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
3、在菜單中選擇“Window -> I/O Ports”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)

4、給 LED 和時鐘分配管腳、電平標(biāo)準,完成后點擊保存圖標(biāo)
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
5、彈出窗口,要求保存約束文件,文件名我們填寫“l(fā)ed”,文件類型默認“XDC”,點擊“OK”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
6、打開剛才生成的“l(fā)ed.xdc”文件,我們可以看到是一個 TCL 腳本,如果我們了解這些語法,完全可以通過自己編寫 led.xdc 文件的方式來約束管腳
最基本的 XDC 編寫的語法,普通 IO 口只需約束引腳號和電壓,管腳約束如下:
set_property PACKAGE_PIN “引腳編號” [get_ports “端口名稱”]
電平信號的約束如下:
set_property IOSTANDARD “電平標(biāo)準” [get_ports “端口名稱”]
這里需要注意文字的大小寫,端口名稱是數(shù)組的話用{ }刮起來,端口名稱必須和源代碼中的名字一致,且端口名字不能和關(guān)鍵字一樣。
電平標(biāo)準中“LVCMOS33”后面的數(shù)字指 FPGA 的 BANK 電壓,LED 所在 BANK 電壓為 3.3 伏,所以電平標(biāo)準為“LVCMOS33”。Vivado 默認要求為所有 IO 分配正確的電平標(biāo)準和管腳編號。
四、添加時序約束
一個 FPGA 設(shè)計除了管腳分配以外,還有一個重要的約束,那就是時序約束,這里通過向?qū)Х绞窖菔救绻M行一個時序約束。
1、點擊“Run Synthesis”開始綜合
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
2、彈出對話框點擊“OK”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
3、綜合完成以后點擊“Cancel”
4、點擊“Constraints Wizard”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
5、在彈出的窗口中點擊“Next”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
6、時序約束向?qū)Х治龀鲈O(shè)計中的時鐘,這里把“sys_clk”頻率設(shè)置為 50Mhz,然后點擊“Skipto Finish”結(jié)束時序約束向?qū)А?br>ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
7、彈出的窗口中點擊“OK”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
8、點擊“Finish”,這個時候 led.xdc 文件已經(jīng)更新,重新加載文件即可。

五、生成 BIT 文件
1、編譯的過程可以細分為綜合、布局布線、生成 bit 文件等,這里我們直接點擊“Generate Bitstream”,直接生成 bit 文件。
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)2、在彈出的對話框中可以選擇任務(wù)數(shù)量,這里和 CPU 核心數(shù)有關(guān),一般數(shù)字越大,編譯越快,點擊OK
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
3、這個時候開始編譯,可以看到右上角有個狀態(tài)信息,在編譯過程中可能會被殺毒軟件、電腦管家攔截運行,導(dǎo)致無法編譯或很長時間沒有編譯成功。
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
4、編譯中沒有任何錯誤,編譯完成,彈出一個對話框讓我們選擇后續(xù)操作,這里選項“Open Hardware Manger”,點擊“OK”,當(dāng)然,也可以選擇“Cancel”,然后在左邊導(dǎo)航欄選擇“Open Hardware Manger”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
六、下載調(diào)試
1、連接好開發(fā)板的 JTAG 接口,給開發(fā)板上電
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)

2、在“HARDWARE MANAGER”界面點擊“Auto Connect”,自動連接設(shè)備
3、可以看到 JTAG 掃描到 arm 和 FPGA 內(nèi)核(圖中為 xc7z015,AC7010 開發(fā)板實際為 xc7z010_1,AC7020 開發(fā)板實際為 xc7z020_1),還有一個 XADC,可以檢測系統(tǒng)電壓、溫度
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
4、選擇 xc7z010_1 或 xc7z020_1,右鍵“Program Device…”
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)
5、點擊program,等待下載
6、下載完成以后,我們可以看到 4 顆 LED 開始每秒變化一次。到此為止 Vivado 簡單流程體驗完成。后面的章節(jié)會介紹如果把程序燒錄到 Flash,需要 PS 系統(tǒng)的配合才能完成,只有 PL的工程不能直接燒寫 Flash。
ZYNQ AC7020C的“點LED”實驗,fpga開發(fā)文章來源地址http://www.zghlxwxcb.cn/news/detail-830491.html

到了這里,關(guān)于ZYNQ AC7020C的“點LED”實驗的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實不符,請點擊違法舉報進行投訴反饋,一經(jīng)查實,立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費用

相關(guān)文章

  • FPGA高端項目:Xilinx Zynq7020 系列FPGA純verilog圖像縮放工程解決方案 提供3套工程源碼和技術(shù)支持

    FPGA高端項目:Xilinx Zynq7020 系列FPGA純verilog圖像縮放工程解決方案 提供3套工程源碼和技術(shù)支持

    FPGA高端項目:Xilinx Zynq7020 系列FPGA純verilog圖像縮放工程解決方案 提供3套工程源碼和技術(shù)支持 沒玩過圖像縮放都不好意思說自己玩兒過FPGA,這是CSDN某大佬說過的一句話,鄙人深信不疑。。。目前市面上主流的FPGA圖像縮放方案如下: 1:Xilinx的HLS方案,該方案簡單,易于實現(xiàn)

    2024年01月23日
    瀏覽(30)
  • 【資料分享】Xilinx Zynq-7010/7020工業(yè)核心板規(guī)格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    【資料分享】Xilinx Zynq-7010/7020工業(yè)核心板規(guī)格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    創(chuàng)龍科技SOM-TLZ7x是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗處理器設(shè)計的異構(gòu)多核SoC工業(yè)核心板,處理器集成PS端雙核ARM Cortex-A9 + PL端Artix-7架構(gòu)28nm可編程邏輯資源,通過工業(yè)級B2B連接器引出千兆網(wǎng)口、USB、CAN、UART等通信接口,可通過PS端加載PL端程序,且PS端和PL端

    2024年02月12日
    瀏覽(25)
  • 【資料分享】Xilinx Zynq-7010/7020工業(yè)評估板規(guī)格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    【資料分享】Xilinx Zynq-7010/7020工業(yè)評估板規(guī)格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    創(chuàng)龍科技TLZ7x-EasyEVM是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗處理器設(shè)計的異構(gòu)多核SoC評估板,處理器集成PS端雙核ARM Cortex-A9 + PL端Artix-7架構(gòu)28nm可編程邏輯資源,評估板由核心板和評估底板組成。核心板經(jīng)過專業(yè)的PCB Layout和高低溫測試驗證,穩(wěn)定可靠,可滿足各種

    2024年02月12日
    瀏覽(29)
  • FPGA在校學(xué)習(xí)記錄系列---實驗4不同狀態(tài)的LED+開發(fā)板(Verilog HDL)

    FPGA在校學(xué)習(xí)記錄系列---實驗4不同狀態(tài)的LED+開發(fā)板(Verilog HDL)

    此系列記錄FPGA在學(xué)校的學(xué)習(xí)過程。 FPGA系列 需要用到的軟硬件: 軟件:Quartus II 15.0 (64-bit) 硬件: 5CEBA4F23C7芯片 鏈接: FPGA在校學(xué)習(xí)記錄系列—新建一個FPGA工程編寫程序并仿真(Verilog HDL) 創(chuàng)建的工程名字為:LED (這次不用仿真,直接用開發(fā)板驗證) 編譯文件 按鍵資源:

    2024年04月09日
    瀏覽(28)
  • FPGA/Verilog HDL/AC620零基礎(chǔ)入門學(xué)習(xí)——第一個項目按鍵控制LED

    FPGA/Verilog HDL/AC620零基礎(chǔ)入門學(xué)習(xí)——第一個項目按鍵控制LED

    最近要考試了,所以我趕緊補習(xí)FPGA,我們用的是小梅哥的AC620開發(fā)板,軟件是Quartus。推薦看這個視頻教程:零基礎(chǔ)輕松學(xué)習(xí)FPGA,小梅哥FPGA設(shè)計思想與驗證方法視頻教程 用按鍵控制LED燈的亮滅就是一個二選一多路器,兩個IO,a、b,可以是高電平,也可以是低電平。 輸入按鍵

    2024年02月05日
    瀏覽(28)
  • FPGAC程序固化——ZYNQ7020

    FPGAC程序固化——ZYNQ7020

    ? ? ? ? 剛開始學(xué)習(xí)FPGA的小伙伴們,想必都會遇見到一個問題就是程序固化。在FPGA開發(fā)過程中,我們不僅需要編寫代碼實現(xiàn)所需功能,還需要將這些代碼固定在FPGA芯片上,以確保其穩(wěn)定運行并符合項目需求。盡管此過程聽起來可能有些復(fù)雜,但它卻是使得FPGA成為如此強大工

    2024年02月21日
    瀏覽(25)
  • ZYNQ之FPGA 片內(nèi)ROM讀寫測試實驗

    ZYNQ之FPGA 片內(nèi)ROM讀寫測試實驗

    FPGA本身是SRAM架構(gòu)的,斷電之后程序就會消失,那么如何利用FPGA實現(xiàn)一個ROM呢,我們可以利用FPGA內(nèi)部的RAM資源實現(xiàn)ROM,但這不是真正意義上的ROM,而是每次上電都會把初始化的值先寫入RAM。Vivado軟件中提供了ROM的IP核 , 我們只需通過IP核例化一個ROM,根據(jù)ROM的讀時序來讀取R

    2024年02月07日
    瀏覽(26)
  • Zynq7020 使用 Video Processing Subsystem 實現(xiàn)圖像縮放

    Zynq7020 使用 Video Processing Subsystem 實現(xiàn)圖像縮放

    沒玩過圖像縮放都不好意思說自己玩兒過FPGA,這是CSDN某大佬說過的一句話,鄙人深信不疑。。。 目前市面上主流的FPGA圖像縮放方案如下:1:Xilinx的HLS方案,該方案簡單,易于實現(xiàn),但只能用于Xilinx自家的FPGA;2:非純Verilog方案,大部分代碼使用Verilog實現(xiàn),但中間的fifo或

    2024年01月16日
    瀏覽(22)
  • AD9361+zedboard(ZYNQ7020)的SDK工程(上)

    AD9361+zedboard(ZYNQ7020)的SDK工程(上)

    1.準備工具 vivado2018.3 HDL源碼:https://wiki.analog.com/resources/fpga/docs/releases no_os:https://github.com/analogdevicesinc/no-OS 注意:HDL源碼下載的版本要與vivado一致,我這里是2018.3 HDL版本選擇 2.構(gòu)建vivado工程 2.1編譯源文件 解壓下載的HDL文件的壓縮包 進入該文件夾C:AD9361hdl-hdl_2019_r1project

    2024年02月13日
    瀏覽(27)
  • petalinux-2021.1在zynq7020的flash上啟動linux

    petalinux-2021.1在zynq7020的flash上啟動linux

    一、 前言 在電腦上安裝虛擬機或者找一個電腦安裝linux,用于編譯petalinux工程; 安裝與vivado對應(yīng)的petalinux-tool; 版本信息: 1)linux:ubuntu16.04.06; 2)vivado:v2021.1; 3)petalinux:v2021.1; 4)petalinux工程參考bsp:xilinx-zc702-v2021.1-final.bsp; vivado可以在linux中,也可在windows中; 離

    2024年02月07日
    瀏覽(150)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包