国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

【ALINX】FPGA ZYNQ視頻教程AX7020教程—02_Vivoda初體驗LED燈工程

這篇具有很好參考價值的文章主要介紹了【ALINX】FPGA ZYNQ視頻教程AX7020教程—02_Vivoda初體驗LED燈工程。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

【ALINX】FPGA ZYNQ視頻教程——AX7010/AX7020教程——FPGA實驗篇

02_Vivoda初體驗LED燈工程

2.1 新建Vivado工程

  1. File=>New Project
  2. 工程文件命名:不能出現(xiàn)中文、空格等非法字符
  3. 選擇芯片型號:

視頻定位鏈接 03:45

  1. AX7020開發(fā)板速度選擇“-2”;型號選擇“xc7Z020clg400-2
    ax7020用戶手冊,fpga開發(fā)

** Vivado窗口介紹

視頻定位鏈接05:44

2.2 添加源文件

視頻定位鏈接 08:43

1.選擇點擊:窗口Source,左上角“+”;選擇“Add ~ design sources”
ax7020用戶手冊,fpga開發(fā)

2.選擇“create file” 或直接選擇目錄下的源文件
3.定義模塊:將模塊名稱設(shè)計和文件名一樣
ax7020用戶手冊,fpga開發(fā)

2.3 編輯Design Sources源文件(.v)

視頻定位鏈接 10:21

1.選擇點擊:窗口Source–》Design Sources–》XXX.v模板 源文件
2.編輯源文件時,編輯框的右上角方塊如果為綠色,則表示程序無誤,若為紅色則表示異常,可通過中間紅色小塊進行查找。
ax7020用戶手冊,fpga開發(fā)

//led.v文件代碼
module led(
    input sys_clk,
    input rst_n,
    (* MARK_DEBUG="true" *)output reg [3:0] led
    );
(* MARK_DEBUG="true" *)reg[31:0] timer_cnt;
always@(posedge sys_clk or negedge rst_n)
begin
    if (!rst_n)
    begin
        led <= 4'd0 ;
        timer_cnt <= 32'd0 ;
    end
    else if(timer_cnt >= 32'd49_999_999)
    begin
        led <= ~led;
        timer_cnt <= 32'd0;
    end
    else
    begin
        led <= led;
        timer_cnt <= timer_cnt + 32'd1;
    end
    
end

Instantiate ila in source file
//ila ila_inst(
//  .clk(sys_clk),
//  .probe0(timer_cnt),
//  .probe1(led)
//  );

endmodule

2.4 RTL ANALYSIS (RTL分析)

視頻定位鏈接 12:49

1.選擇點擊:左側(cè) RTL ANALYSIS => Open Elaborated Design
ax7020用戶手冊,fpga開發(fā)

2.進行引腳綁定,將FPGA的輸入和輸出設(shè)備綁定到FPGA的引腳上
1)切換到右下角"I/O Ports"窗口,可從標題欄 Window 中調(diào)出。
2)根據(jù)原理圖或者用戶手冊,將外設(shè)與FPGA進行引腳綁定和電平匹配,在"Package Pin" 輸入引腳號,在"I/O Std"處選擇FPGA的Bank(3.3V時選擇LVCMOSE33)
ax7020用戶手冊,fpga開發(fā)

ax7020用戶手冊,fpga開發(fā)

3)保存后,約束文件類型為==.XDC==
4)在Sources窗口的Constraints目錄下會出現(xiàn).XDC約束文件

3.SYNTHESIS (綜合)
1).選擇點擊:左側(cè) SYNTHESIS => Run Synthesis 選擇核心數(shù);開始綜合,右上角有指示。
2)時序約束

視頻定位鏈接 21:29

  • 選擇點擊:左側(cè) SYNTHESIS => Open Synthesized Design =>Constranints Wizard
  • 設(shè)置時鐘頻率(50MHZ),“Skip to Finish”
    ax7020用戶手冊,fpga開發(fā)

3).在Sources窗口的Constraints的.xdc約束文件中點擊“reload”,補充時序約束。
ax7020用戶手冊,fpga開發(fā)

2.5 編譯:生成Bit文件

視頻定位鏈接 23:32

1.選擇點擊:左側(cè) PROGRAM AND DEBUG => GENERATE bITSTREAM
2.選擇運行處理器核心數(shù)(越多電腦越卡);右上角會顯示運行狀態(tài)。
ax7020用戶手冊,fpga開發(fā)

2.6 仿真

視頻定位鏈接 25:27

1.右鍵選擇點擊:左側(cè) SIMULATION => Simulation Settings
2.設(shè)置:仿真器、仿真語言、初始仿真時間(50ms)等參數(shù).
ax7020用戶手冊,fpga開發(fā)

3.添加仿真源文件
1).選擇點擊:窗口Source,左上角“+”;選擇“Add ~ simulation sources”;設(shè)置文件名:vtf_led_test
ax7020用戶手冊,fpga開發(fā)

2).在該目錄下編輯仿真源文件(編程)

`timescale 1ns / 1ps
//
// Module Name: vtf_led_test
//

module vtf_led_test;
// Inputs
reg sys_clk;
reg rst_n ;
// Outputs
wire [3:0] led;

// Instantiate the Unit Under Test (UUT)
led uut (
    .sys_clk(sys_clk),   
    .rst_n(rst_n),
    .led(led)
 );

initial 
begin
// Initialize Inputs
    sys_clk = 0;
    rst_n = 0 ;
    #1000 ;
    rst_n = 1; 
end
//Create clock
always #10 sys_clk = ~ sys_clk;  

endmodule

4.運行(行為級)仿真:選擇點擊 SIMULATION => Run Simulation => Run Behaviorral Simulation
ax7020用戶手冊,fpga開發(fā)

5.仿真操作:

視頻定位鏈接 29:59

1)選擇 Scope(模塊) => Objects(項目);左鍵按住則可以拖動到黑色仿真窗口。
ax7020用戶手冊,fpga開發(fā)

2)Restart(重新運行)、Run All(一直運行)、Run for
xx(仿真運行xx長時間)ax7020用戶手冊,fpga開發(fā)

3)仿真文件存儲目錄(.sim文件夾)

4)顯示進制選擇:黑框仿真欄項目,鼠標右鍵 => Radix => Unsigned Decimal(十進制)
ax7020用戶手冊,fpga開發(fā)

2.7 程序下載

視頻定位鏈接 34:40

1.硬件連接:電源線、JTAG下載口(跳線帽也換到JTAG)
ax7020用戶手冊,fpga開發(fā)

2.選擇點擊:左側(cè) PROGRAM AND DEBUG => Open Hardware Manager;在左上角通知欄點擊“++Open Target++” => Auto Connect
ax7020用戶手冊,fpga開發(fā)

3.右鍵選擇點擊:Hardware中XC7z020_1 => Program Device;選擇2.5中生成的.Bit文件
ax7020用戶手冊,fpga開發(fā)

2.8 內(nèi)嵌邏輯分析儀(可獲取開發(fā)板的真實數(shù)據(jù))的用法

2.8.1 利用IP進行例化

視頻定位鏈接 36:32

1.選擇點擊:左側(cè) PROJECT MANAGER => IP Catalog
ax7020用戶手冊,fpga開發(fā)

2.在搜索欄中搜索ILA(Integrated Logic Analyzer);雙擊進入
ax7020用戶手冊,fpga開發(fā)

3.設(shè)置參數(shù)
1)修改頂端文件名,設(shè)置 Number of Probe(探針數(shù)目)、Sample DataDepth(采樣深度)。
ax7020用戶手冊,fpga開發(fā)

2)設(shè)置 Probe Width(探針寬度):計數(shù)器是32位,4個led燈是4位。
ax7020用戶手冊,fpga開發(fā)

4.保持默認,點擊生成ILA文件(.xci)。
1)在Sources處點擊打開ila文件,展開層級關(guān)系;
ax7020用戶手冊,fpga開發(fā)

2)展開后,會生成ILA的.v文件
ax7020用戶手冊,fpga開發(fā)

5.例化:

視頻定位鏈接 40:50

1)復(fù)制ILA文件中的模塊名及代碼,在led.v文件內(nèi)做 例化:將時鐘(.clk)和探針(.probe)與需要探測的接口進行對應(yīng)。
ax7020用戶手冊,fpga開發(fā)

ax7020用戶手冊,fpga開發(fā)

// 模塊名為(ila)ILA中例化前代碼:
  ila (
clk,
probe0,
probe1
);

// led.v中例化后代碼:
//Instantiate ila in source file
ila ila_inst(
  .clk(sys_clk),
  .probe0(timer_cnt),
  .probe1(led)
  );

2)重新下載程序,生成.bit文件,下載文件到開發(fā)板;注意此時下載時會多一個.ltx文件(用于描述邏輯分析儀連接)ax7020用戶手冊,fpga開發(fā)

3)選擇點擊: Run trigger… 則可顯示開發(fā)板內(nèi)的實際數(shù)據(jù)。
ax7020用戶手冊,fpga開發(fā)

4)制作觸發(fā)器

視頻定位鏈接 45:09

選擇點擊: Add Probe(增加探針)=> 選擇探針;修改探針邏輯、進制、數(shù)值;
ax7020用戶手冊,fpga開發(fā)
5)修改 Trigger Position in window 可以調(diào)整窗口的前后顯示比例;每次修改需要點擊 Run trigger…
ax7020用戶手冊,fpga開發(fā)

2.8.2 利用調(diào)試信息進行ILA

視頻定位鏈接 49:07

1.在.v文件中添加調(diào)試信息
1)選擇點擊:PROJECT MANAGER => Language Templates;搜索 mark,選擇“mark debug”
ax7020用戶手冊,fpga開發(fā)

2)在led.v文件中的led和cnt值定義的前面加上Mark語句,保存。
ax7020用戶手冊,fpga開發(fā)

2.進行綜合(SYNTHESIS)
1)選擇點擊:左側(cè) SYNTHESIS => Run Synthesis 選擇核心數(shù);開始綜合,右上角有指示。
2)綜合后,選擇點擊:SYNTHESIS => Open Synthesis => Set Up Debug;按照指示保持默認,生成后保存。
ax7020用戶手冊,fpga開發(fā)
3)在約束文件處 reload ,約束文件中會將信號和探針連接到一起。
ax7020用戶手冊,fpga開發(fā)
3.生成bit文件
4.下載調(diào)試(同上)

《完》文章來源地址http://www.zghlxwxcb.cn/news/detail-740297.html

到了這里,關(guān)于【ALINX】FPGA ZYNQ視頻教程AX7020教程—02_Vivoda初體驗LED燈工程的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務(wù),不擁有所有權(quán),不承擔相關(guān)法律責任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實不符,請點擊違法舉報進行投訴反饋,一經(jīng)查實,立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費用

相關(guān)文章

  • 【資料分享】Xilinx Zynq-7010/7020工業(yè)核心板規(guī)格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    【資料分享】Xilinx Zynq-7010/7020工業(yè)核心板規(guī)格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    創(chuàng)龍科技SOM-TLZ7x是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗處理器設(shè)計的異構(gòu)多核SoC工業(yè)核心板,處理器集成PS端雙核ARM Cortex-A9 + PL端Artix-7架構(gòu)28nm可編程邏輯資源,通過工業(yè)級B2B連接器引出千兆網(wǎng)口、USB、CAN、UART等通信接口,可通過PS端加載PL端程序,且PS端和PL端

    2024年02月12日
    瀏覽(25)
  • 【資料分享】Xilinx Zynq-7010/7020工業(yè)評估板規(guī)格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    【資料分享】Xilinx Zynq-7010/7020工業(yè)評估板規(guī)格書(雙核ARM Cortex-A9 + FPGA,主頻766MHz)

    創(chuàng)龍科技TLZ7x-EasyEVM是一款基于Xilinx Zynq-7000系列XC7Z010/XC7Z020高性能低功耗處理器設(shè)計的異構(gòu)多核SoC評估板,處理器集成PS端雙核ARM Cortex-A9 + PL端Artix-7架構(gòu)28nm可編程邏輯資源,評估板由核心板和評估底板組成。核心板經(jīng)過專業(yè)的PCB Layout和高低溫測試驗證,穩(wěn)定可靠,可滿足各種

    2024年02月12日
    瀏覽(29)
  • FPGAC程序固化——ZYNQ7020

    FPGAC程序固化——ZYNQ7020

    ? ? ? ? 剛開始學(xué)習FPGA的小伙伴們,想必都會遇見到一個問題就是程序固化。在FPGA開發(fā)過程中,我們不僅需要編寫代碼實現(xiàn)所需功能,還需要將這些代碼固定在FPGA芯片上,以確保其穩(wěn)定運行并符合項目需求。盡管此過程聽起來可能有些復(fù)雜,但它卻是使得FPGA成為如此強大工

    2024年02月21日
    瀏覽(25)
  • ZYNQ AC7020C的“點LED”實驗

    ZYNQ AC7020C的“點LED”實驗

    一、創(chuàng)建 Vivado 工程 1、啟動 Vivado 2、在 Vivado 開發(fā)環(huán)境里點擊“Create New Project”,創(chuàng)建一個新的工程 3、彈出一個建立新工程的向?qū)?,點擊“Next” 4、在彈出的對話框中輸入工程名和工程存放的目錄。需要注意工程路徑“Project location”不能有中文、空格,路徑名稱也不能太長

    2024年02月20日
    瀏覽(23)
  • Zynq7020 使用 Video Processing Subsystem 實現(xiàn)圖像縮放

    Zynq7020 使用 Video Processing Subsystem 實現(xiàn)圖像縮放

    沒玩過圖像縮放都不好意思說自己玩兒過FPGA,這是CSDN某大佬說過的一句話,鄙人深信不疑。。。 目前市面上主流的FPGA圖像縮放方案如下:1:Xilinx的HLS方案,該方案簡單,易于實現(xiàn),但只能用于Xilinx自家的FPGA;2:非純Verilog方案,大部分代碼使用Verilog實現(xiàn),但中間的fifo或

    2024年01月16日
    瀏覽(22)
  • AD9361+zedboard(ZYNQ7020)的SDK工程(上)

    AD9361+zedboard(ZYNQ7020)的SDK工程(上)

    1.準備工具 vivado2018.3 HDL源碼:https://wiki.analog.com/resources/fpga/docs/releases no_os:https://github.com/analogdevicesinc/no-OS 注意:HDL源碼下載的版本要與vivado一致,我這里是2018.3 HDL版本選擇 2.構(gòu)建vivado工程 2.1編譯源文件 解壓下載的HDL文件的壓縮包 進入該文件夾C:AD9361hdl-hdl_2019_r1project

    2024年02月13日
    瀏覽(27)
  • 02體驗FPGA開發(fā)流程

    02體驗FPGA開發(fā)流程

    標準的 FPGA 開發(fā)流程主要有以下幾個步驟: 需求分析:做一個項目之前,首先需要對項目需求進行詳細分析,弄明白項目所需要實現(xiàn)的功能 系統(tǒng)設(shè)計:根據(jù)項目所需的功能進行系統(tǒng)架構(gòu)設(shè)計,架構(gòu)設(shè)計主要是確定將系統(tǒng)分為子模塊,模塊之間數(shù)據(jù)流怎么走,控制流怎么走,

    2024年01月24日
    瀏覽(15)
  • petalinux-2021.1在zynq7020的flash上啟動linux

    petalinux-2021.1在zynq7020的flash上啟動linux

    一、 前言 在電腦上安裝虛擬機或者找一個電腦安裝linux,用于編譯petalinux工程; 安裝與vivado對應(yīng)的petalinux-tool; 版本信息: 1)linux:ubuntu16.04.06; 2)vivado:v2021.1; 3)petalinux:v2021.1; 4)petalinux工程參考bsp:xilinx-zc702-v2021.1-final.bsp; vivado可以在linux中,也可在windows中; 離

    2024年02月07日
    瀏覽(150)
  • Xilinx Zynq-7000系列FPGA多路視頻處理:圖像縮放+視頻拼接顯示,提供工程源碼和技術(shù)支持

    Xilinx Zynq-7000系列FPGA多路視頻處理:圖像縮放+視頻拼接顯示,提供工程源碼和技術(shù)支持

    Xilinx Zynq-7000系列FPGA多路視頻處理:圖像縮放+視頻拼接顯示,提供工程源碼和技術(shù)支持 沒玩過圖像縮放和視頻拼接都不好意思說自己玩兒過FPGA,這是CSDN某大佬說過的一句話,鄙人深信不疑。。。本文使用Xilinx Zynq7000系列FPGA Zynq7020實現(xiàn)HLS圖像縮放+視頻拼接,輸入視頻源采用

    2024年02月04日
    瀏覽(107)
  • ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條

    ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條

    簡介 像素(Pixel):像素是指由圖像的小方格組成的,這些小方快都有一個明確的位置和被分配的色彩數(shù)值,小方格顏色和位置就決定該圖像所呈現(xiàn)出來的樣子。 分辨率(Resolution):是屏幕圖像的精密度,是指顯示器所能顯示的像素有多少。 像素格式(Pixel Format):將RGB三

    2024年02月06日
    瀏覽(52)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包