国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

FPGA開發(fā)——VHDL實(shí)現(xiàn)各類觸發(fā)器

這篇具有很好參考價(jià)值的文章主要介紹了FPGA開發(fā)——VHDL實(shí)現(xiàn)各類觸發(fā)器。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請(qǐng)大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

前言

小編最近在學(xué)習(xí)時(shí)序電路的VHDL設(shè)計(jì),通過此文對(duì)觸發(fā)器和VHDL相關(guān)知識(shí)進(jìn)行總結(jié),以便日后進(jìn)行復(fù)習(xí)、查閱。本文首先回顧了各類觸發(fā)器的基本知識(shí)包括特性方程、狀態(tài)圖等,最后通過VHDL來實(shí)現(xiàn)各類觸發(fā)器。

一、觸發(fā)器知識(shí)回顧

在實(shí)際的數(shù)字系統(tǒng)中往往包含大量的存儲(chǔ)單元,而且經(jīng)常要求他們?cè)谕粫r(shí)刻同步動(dòng)作,為達(dá)到這個(gè)目的,在每個(gè)存儲(chǔ)單元電路上引入一個(gè)時(shí)鐘脈沖(CLK)作為控制信號(hào),只有當(dāng)CLK到來時(shí)電路才被“觸發(fā)”而動(dòng)作,并根據(jù)輸入信號(hào)改變輸出狀態(tài)。把這種在時(shí)鐘信號(hào)觸發(fā)時(shí)才能動(dòng)作的存儲(chǔ)單元電路稱為觸發(fā)器,常見的觸發(fā)器有D觸發(fā)器、RS觸發(fā)器、JK觸發(fā)器、T觸發(fā)器,它們是構(gòu)成時(shí)序邏輯電路的基本單元。

名稱 特性方程 邏輯符號(hào) 狀態(tài)圖
D觸發(fā)器 vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā) vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā) vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)
RS觸發(fā)器(或非門實(shí)現(xiàn))

vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)

(約束條件)

vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā) vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)
JK觸發(fā)器 vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā) vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā) vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)
T觸發(fā)器 vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā) vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā) vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)

D觸發(fā)器真值表:

D vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)
0 0 0
0 1 0
1 0 1
1 1 1

RS觸發(fā)器真值表:

S R vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 不確定
1 1 1 不確定

RS觸發(fā)器真值表:

J K vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 1
1 1 0 1
1 1 1 0

T觸發(fā)器真值表:

Y vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)
0 0 0
0 1 1
1 0 1
1 1 0

二、VHDL實(shí)現(xiàn)

在VHDL中完整的條件語句只能構(gòu)成組合邏輯電路,例如下面的二選一數(shù)據(jù)選擇器。

entity select1of2 is 
	port (a, b, s : in bit;
				y : out bit);
end entity select1of2;

architecture bhv of select1of2 is 
	begin
	process(a,b,s)
	begin
		if(s='1') then y<=a; else y<=b;
		end if;
	end process;
end architecture bhv;

可以觀察到二選一數(shù)據(jù)選擇器的RTL電路沒有觸發(fā)器或者鎖存器,即沒有存儲(chǔ)功能。

vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)

在VHDL實(shí)現(xiàn)時(shí)序電路的核心思想就是通過不完整條件語句。為了實(shí)現(xiàn)記憶、存儲(chǔ)功能,對(duì)于不滿足條件的的語句,VHDL綜合器解釋為不予執(zhí)行,即信號(hào)保持前一次的值,不發(fā)生改變。對(duì)于數(shù)字電路來說,當(dāng)輸入改變后仍能保持原值不變,就意味著使用了具有存儲(chǔ)功能的元件,其中輸出不僅僅取決于輸入,還取決于所處的狀態(tài)。

D觸發(fā)器代碼實(shí)現(xiàn)

library ieee;
use ieee.std_logic_1164.all;
entity dff2 is
	port(CLK, RST, EN, D : in std_logic;
					   Q : out std_logic);
end entity dff2;
architecture bhv of dff2 is
	signal Q1 : std_logic;
	begin
	process(CLK, Q1, RST, EN)
		begin
		if RST = '1' then Q1 <= '0';
		elsif CLK'EVENT and CLK = '1' then 
			if EN = '1' then Q1 <= D;
			end if;
		end if;
	end process;
	Q <= Q1;
end architecture bhv;

? ? ??

D觸發(fā)器仿真波形

vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)

RS觸發(fā)器代碼實(shí)現(xiàn)

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity SR is
	port(S, R, CLK : in std_logic;
				Q  : buffer std_logic);
end entity SR;

architecture bhv of SR is
	signal Q_TEMP : std_logic;
	begin
	process(CLK)
	begin
		if CLK'EVENT and CLK = '1' then 		--或非門構(gòu)成的SR觸發(fā)器
			if S = '0' and R = '1' then
			Q_TEMP <= '0';
			elsif S = '1' and R = '0' then 
			Q_TEMP <= '1';
			end if;
		end if;
	Q <= Q_TEMP;
	end process;
end architecture bhv;

RS觸發(fā)器仿真波形

vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)

JK觸發(fā)器代碼實(shí)現(xiàn)

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity JK is
	port(CLK,J, K : in std_logic;
			Q, NQ : out std_logic);
end entity JK;

architecture bhv of JK is
	signal Q_TEMP : std_logic;
	signal NQ_TEMP: std_logic;
	begin
	process(CLK, J, K)
	begin
		if CLK'EVENT  and CLK = '1' then
			if (J = '0') and  (K = '1') then
			Q_TEMP <= '0';
			NQ_TEMP <= '1';
			elsif (J = '1') and (K ='0') then
			Q_TEMP <= '1';
			NQ_TEMP <= '0';
			elsif (J = '1') and (K = '1') then
			Q_TEMP <=  not Q_TEMP;
			NQ_TEMP <= not NQ_TEMP;
			end if;
		end if;
	Q <= Q_TEMP;
	NQ <= NQ_TEMP;
	end process;
end architecture bhv;
			
	

JK觸發(fā)器仿真波形

vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)

T觸發(fā)器代碼實(shí)現(xiàn)

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity t_ff is
	port(CLK, T : in std_logic;
			Q: buffer  std_logic);
end entity t_ff;

architecture bhv of t_ff is
	signal Q_TEMP : std_logic;
	begin
	process(CLK)
		begin
		if CLK'EVENT and CLK = '1' then
			if T = '1' then 
			Q_TEMP <= not Q_TEMP;
			else
			Q_TEMP <= Q_TEMP;
			end if;
		end if;
	Q <= Q_TEMP;
	end process;
end architecture bhv;
	

T觸發(fā)器仿真波形

vhdl設(shè)計(jì)d觸發(fā)器,fpga開發(fā)

總結(jié)

以上就是本文的全部?jī)?nèi)容,非常感謝你能看到這里(仿真波形有一定的延遲)。文章來源地址http://www.zghlxwxcb.cn/news/detail-766573.html

到了這里,關(guān)于FPGA開發(fā)——VHDL實(shí)現(xiàn)各類觸發(fā)器的文章就介紹完了。如果您還想了解更多內(nèi)容,請(qǐng)?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場(chǎng)。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請(qǐng)注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請(qǐng)點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • 「FPGA」基本時(shí)序電路元件——鎖存器和觸發(fā)器

    「FPGA」基本時(shí)序電路元件——鎖存器和觸發(fā)器

    FPGA是一種數(shù)字電路實(shí)現(xiàn)的方式,它是基于小型查找表(16X1)設(shè)計(jì)的,它的兄弟CPLD是基于高密度復(fù)雜組合邏輯設(shè)計(jì)的。FPGA的一個(gè)優(yōu)點(diǎn)是觸發(fā)器資源豐富,適合實(shí)現(xiàn)復(fù)雜的時(shí)序設(shè)計(jì)。本文將從 門級(jí)電路 的角度來介紹時(shí)序電路的基本結(jié)構(gòu),鎖存器(Latch)和觸發(fā)器(flip-flop)。

    2024年02月11日
    瀏覽(21)
  • FPGA結(jié)構(gòu):LATCH(鎖存器)和 FF(觸發(fā)器)介紹

    FPGA結(jié)構(gòu):LATCH(鎖存器)和 FF(觸發(fā)器)介紹

    如果你想學(xué)習(xí)有關(guān)FPGA的專業(yè)術(shù)語,可以參考這一篇:FPGA專業(yè)術(shù)語介紹 一句話概括,能夠存儲(chǔ)一個(gè)狀態(tài)的數(shù)字電路叫做鎖存器。 以下是最為基本的一個(gè)RS鎖存器的具體結(jié)構(gòu): 以下是它的真值表,其中X表示不確定/無效: R {R} R (清零) S {S} S (置位) Q ( t ) {Q(t)} Q ( t ) (上一時(shí)刻的

    2024年01月25日
    瀏覽(19)
  • FPGA面試題【D觸發(fā)器搭建4進(jìn)制的計(jì)數(shù)器】

    FPGA面試題【D觸發(fā)器搭建4進(jìn)制的計(jì)數(shù)器】

    目錄 題目 核心思路 答案 用D觸發(fā)器搭建4進(jìn)制的計(jì)數(shù)器 本題目主要考察了數(shù)字電路基礎(chǔ)中的計(jì)數(shù)器設(shè)計(jì)。 題目看上去很簡(jiǎn)單,要求實(shí)現(xiàn)一個(gè)4進(jìn)制的計(jì)數(shù)器,但要用D觸發(fā)器來搭建,這顯然不會(huì)像寫Verilog實(shí)現(xiàn)一樣容易,所以我們要用數(shù)字電路中的傳統(tǒng)方法來設(shè)計(jì)。 4進(jìn)制計(jì)數(shù)器

    2024年02月04日
    瀏覽(46)
  • 北郵22級(jí)信通院數(shù)電:Verilog-FPGA(11)第十一周實(shí)驗(yàn)(1)用JK觸發(fā)器實(shí)現(xiàn)8421碼十進(jìn)制計(jì)數(shù)器

    北郵22級(jí)信通院數(shù)電:Verilog-FPGA(11)第十一周實(shí)驗(yàn)(1)用JK觸發(fā)器實(shí)現(xiàn)8421碼十進(jìn)制計(jì)數(shù)器

    北郵22信通一枚~ 跟隨課程進(jìn)度更新北郵信通院數(shù)字系統(tǒng)設(shè)計(jì)的筆記、代碼和文章 持續(xù)關(guān)注作者 迎接數(shù)電實(shí)驗(yàn)學(xué)習(xí)~ 獲取更多文章,請(qǐng)?jiān)L問專欄: 北郵22級(jí)信通院數(shù)電實(shí)驗(yàn)_青山如墨雨如畫的博客-CSDN博客 目錄 一.代碼部分 1.1? JK_8421.v 1.2? JK_ff.v 1.3? debounce.v 二.管腳分配 三.實(shí)

    2024年02月05日
    瀏覽(23)
  • 【FGPA】Verilog:JK 觸發(fā)器 | D 觸發(fā)器 | T 觸發(fā)器 | D 觸發(fā)器的實(shí)現(xiàn)

    【FGPA】Verilog:JK 觸發(fā)器 | D 觸發(fā)器 | T 觸發(fā)器 | D 觸發(fā)器的實(shí)現(xiàn)

    0x00 JK 觸發(fā)器 JK 觸發(fā)器是 RS 觸發(fā)器和 T 觸發(fā)器的組合,有兩個(gè)輸入端 J 和 K,如果兩個(gè)輸入端都等于 1,則將當(dāng)前值反轉(zhuǎn)。 行為表

    2024年02月05日
    瀏覽(31)
  • Verilog設(shè)計(jì)實(shí)現(xiàn)D觸發(fā)器與JK觸發(fā)器

    Verilog設(shè)計(jì)實(shí)現(xiàn)D觸發(fā)器與JK觸發(fā)器

    題目: ????????用Verilog實(shí)現(xiàn)以下電路: ????????????????1. 帶復(fù)位端的正邊沿觸發(fā)的D觸發(fā)器; ????????????????2.帶復(fù)位端的正邊沿觸發(fā)的JK觸發(fā)器。 包括sys_clk,復(fù)位信號(hào)sys_rst_n,輸入信號(hào)key_in以及輸出信號(hào)led_out; 采用行為級(jí)描述: testbench仿真代碼編寫:

    2024年04月28日
    瀏覽(34)
  • [從零開始學(xué)習(xí)FPGA編程-32]:進(jìn)階篇 - 基本時(shí)序電路-D觸發(fā)器(Verilog語言)

    作者主頁(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客 本文網(wǎng)址:? 目錄 第1章 什么是時(shí)序電路 1.1 時(shí)序電路 1.2 什么是觸發(fā)器

    2023年04月08日
    瀏覽(28)
  • FPGA中鎖存器(latch)、觸發(fā)器(flip-flop)以及寄存器(register)詳解

    FPGA中鎖存器(latch)、觸發(fā)器(flip-flop)以及寄存器(register)詳解

    1 定義 1.1 鎖存器(latch) ????鎖存器是一種由電平觸發(fā)的存儲(chǔ)單元,為異步電路,數(shù)據(jù)存儲(chǔ)的動(dòng)作取決于輸入信號(hào)的電平值,只要輸入發(fā)生變化,輸出即隨之發(fā)生變化。 1.2 觸發(fā)器(flip-flop) ????觸發(fā)器是邊沿敏感的存儲(chǔ)單元,數(shù)據(jù)存儲(chǔ)的動(dòng)作由某一信號(hào)的上升或者下降

    2024年02月12日
    瀏覽(28)
  • 使用D觸發(fā)器實(shí)現(xiàn)8分頻

    使用D觸發(fā)器實(shí)現(xiàn)8分頻

    最近閑來無聊玩了一陣子FPGA,其中遇到一個(gè)經(jīng)典的問題,就是用verilog實(shí)現(xiàn)8分頻器。發(fā)現(xiàn)自己并不是很熟練,所以就以blog的形式記錄一下,同時(shí)也分享給大家。 所需要的前提條件:由verilog語言基礎(chǔ),知道D觸發(fā)器的邏輯 要知道,幾乎所有的編程語言都由模塊化的思想包含在

    2024年02月11日
    瀏覽(24)
  • (91)Verilog實(shí)現(xiàn)D觸發(fā)器

    1)目錄 2)FPGA簡(jiǎn)介 3)Verilog HDL簡(jiǎn)介 4)Verilog實(shí)現(xiàn)D觸發(fā)器 5)結(jié)語 FPGA(Field Programmable Gate Array)是在PAL、GAL等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路

    2024年02月04日
    瀏覽(17)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請(qǐng)作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包