国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條

這篇具有很好參考價值的文章主要介紹了ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條

簡介

像素(Pixel):像素是指由圖像的小方格組成的,這些小方快都有一個明確的位置和被分配的色彩數(shù)值,小方格顏色和位置就決定該圖像所呈現(xiàn)出來的樣子。

分辨率(Resolution):是屏幕圖像的精密度,是指顯示器所能顯示的像素有多少。

像素格式(Pixel Format):將RGB三種顏色進行量化,每種顏色用8Bit表示,RGB共需要24位,即RGB888格式。

LCD屏幕的接口有RGB、MCU、LVDS、MIPI等。

時序

對于顯示屏來說,是在不斷的進行像素刷新的,從左往右一行一行的刷新,一行刷新完后接著刷新下一行,整個過程就是從左往右,從上往下進行刷新的。在刷新的過程中每個像素點都賦予不同的顏色,一直刷新到最后一行最后一列時,一幀圖像也就顯示出來了,然后再重頭開始重復刷新。

每個不同尺寸的顯示器都有自己對應的有效顯示區(qū)域(Addressable Video)和無效顯示區(qū)域(Border)。像素的刷新過程是從無效區(qū)域的左上角開始刷新到右下角結(jié)束。比如4.3寸屏的真正有效區(qū)域為480*272,其余的都是無效區(qū)域,但它們會占用刷新時間。

驅(qū)動TFT顯示屏的關(guān)鍵是正確分析其水平和垂直掃描時序,何時輸出的圖像才有效。由下面兩張圖可以很明顯的分析
ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條

下圖中的HSync為行同步信號,它有效時,開始一行的刷新;VSync為場同步信號,它有效時表示一幀刷新的開始。Back Porch:后沿,F(xiàn)ront Porch:前沿。
行掃描時序如下,場掃描時序同理,只是對應數(shù)值不同。
ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條

ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條
ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條

分析

通過下面的4.3寸屏的管腳圖可知,我們設計的顯示屏驅(qū)動模塊的端口數(shù)為LCD_RGB、LCD_DCLK、LCD_HSYNC、LCD_VSYNC和LCD_DE。SPI開頭的端口是觸摸用的,這里只是顯示功能可不用管。

可針對行時序和場時序分別使用一個計數(shù)器,行時序的計數(shù)器范圍為0——524,場時序范圍為0——285。

頂層文件,需要注的是480*272顯示屏的驅(qū)動時鐘是9Mhz,而我們給的系統(tǒng)時鐘是100Mhz,所以需要用到clk_wiz IP核:

module lcd_test( 
    input				clk		    ,
    input				rst_n	    ,
    output      [7:0]   lcd_r       ,
    output      [7:0]   lcd_g       ,
    output      [7:0]   lcd_b       ,
    output              lcd_dclk     ,
    output              lcd_vsync   ,
    output              lcd_hsync   ,
    output              lcd_de                    
);								 
     	 
  clk_wiz_0 clk_wiz_inst
   (
    // Clock out ports
    .clk_out1(lcd_dclk),     // output clk_out1
    // Status and control signals
    .reset(!rst_n  ), // input reset
   // Clock in ports
    .clk_in1(clk));  

lcd_driver lcd_driver_inst
(
    .clk         (lcd_dclk    ),
    .rst_n       (rst_n      ),
    .lcd_r       (lcd_r      ),
    .lcd_g       (lcd_g      ),
    .lcd_b       (lcd_b      ),
    .lcd_vsync   (lcd_vsync  ),
    .lcd_hsync   (lcd_hsync  ),
    .lcd_de      (lcd_de     )  
);

endmodule

約束文件,根據(jù)J11擴展口和4.3寸TFT顯示屏管腳圖一一對應分配即可:

set_property IOSTANDARD LVCMOS33 [get_ports {lcd_b[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_b[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_b[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_b[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_b[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_b[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_b[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_b[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_g[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_g[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_g[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_g[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_g[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_g[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_g[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_g[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_r[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_r[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_r[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_r[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_r[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_r[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_r[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {lcd_r[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports lcd_clk]
set_property IOSTANDARD LVCMOS33 [get_ports lcd_de]
set_property IOSTANDARD LVCMOS33 [get_ports lcd_hsync]
set_property IOSTANDARD LVCMOS33 [get_ports lcd_vsync]
set_property IOSTANDARD LVCMOS33 [get_ports rst_n]
set_property PACKAGE_PIN J18 [get_ports {lcd_r[7]}]
set_property PACKAGE_PIN H18 [get_ports {lcd_r[6]}]
set_property PACKAGE_PIN G19 [get_ports {lcd_r[5]}]
set_property PACKAGE_PIN G20 [get_ports {lcd_r[4]}]
set_property PACKAGE_PIN F19 [get_ports {lcd_r[3]}]
set_property PACKAGE_PIN F20 [get_ports {lcd_r[2]}]
set_property PACKAGE_PIN F16 [get_ports {lcd_r[1]}]
set_property PACKAGE_PIN F17 [get_ports {lcd_r[0]}]

set_property PACKAGE_PIN K19 [get_ports {lcd_g[7]}]
set_property PACKAGE_PIN J19 [get_ports {lcd_g[6]}]
set_property PACKAGE_PIN K17 [get_ports {lcd_g[5]}]
set_property PACKAGE_PIN K18 [get_ports {lcd_g[4]}]
set_property PACKAGE_PIN M19 [get_ports {lcd_g[3]}]
set_property PACKAGE_PIN M20 [get_ports {lcd_g[2]}]
set_property PACKAGE_PIN L19 [get_ports {lcd_g[1]}]
set_property PACKAGE_PIN L20 [get_ports {lcd_g[0]}]

set_property PACKAGE_PIN D19 [get_ports {lcd_b[7]}]
set_property PACKAGE_PIN D20 [get_ports {lcd_b[6]}]
set_property PACKAGE_PIN M17 [get_ports {lcd_b[5]}]
set_property PACKAGE_PIN M18 [get_ports {lcd_b[4]}]
set_property PACKAGE_PIN L16 [get_ports {lcd_b[3]}]
set_property PACKAGE_PIN L17 [get_ports {lcd_b[2]}]
set_property PACKAGE_PIN J20 [get_ports {lcd_b[1]}]
set_property PACKAGE_PIN H20 [get_ports {lcd_b[0]}]

set_property PACKAGE_PIN E19 [get_ports lcd_clk]
set_property PACKAGE_PIN G17 [get_ports lcd_de]
set_property PACKAGE_PIN E18 [get_ports lcd_hsync]
set_property PACKAGE_PIN G18 [get_ports lcd_vsync]
set_property PACKAGE_PIN U18 [get_ports clk]
set_property PACKAGE_PIN L15 [get_ports rst_n]

ZYNQ7020標準J11擴展口管腳:
ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條

4.3寸TFT液晶屏的對應的管腳接口原理圖:
ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條
測試結(jié)果:
ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條文章來源地址http://www.zghlxwxcb.cn/news/detail-462633.html

到了這里,關(guān)于ZYNQ7020(黑金)純verilog驅(qū)動4.3寸RGB接口TFT液晶屏(AN430)顯示彩條的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務,不擁有所有權(quán),不承擔相關(guān)法律責任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實不符,請點擊違法舉報進行投訴反饋,一經(jīng)查實,立即刪除!

領支付寶紅包贊助服務器費用

相關(guān)文章

  • FPGA實現(xiàn)jpeg圖片解碼RGB 純verilog代碼編寫 提供基于zynq得工程源碼和技術(shù)支持

    FPGA實現(xiàn)jpeg圖片解碼RGB 純verilog代碼編寫 提供基于zynq得工程源碼和技術(shù)支持

    jpg是一種壓縮的圖片格式,之所以壓縮是為了減小圖片所占空間,jpg壓縮原理這里不羅嗦,可以自行百度或者b站,大佬講的比我好,jpg解壓縮就是逆向過程,用opencv啥的解壓縮就是一句話的事兒,但對于fpga硬件來說就是大型工程了。 本設計使用zynq7100位平臺,將jpg圖片的c語

    2024年02月07日
    瀏覽(21)
  • 【正點原子STM32】LTDC LCD(RGB屏)實驗(TFT LCD、RGB LCD信號線、驅(qū)動模式、LTDC驅(qū)動LCD-TFT顯示器、LTDC控制器、DMA2D-GPU、DMA2D顏色填充步驟)

    【正點原子STM32】LTDC LCD(RGB屏)實驗(TFT LCD、RGB LCD信號線、驅(qū)動模式、LTDC驅(qū)動LCD-TFT顯示器、LTDC控制器、DMA2D-GPU、DMA2D顏色填充步驟)

    一、RGB LCD簡介 二、LTDC介紹 2.1、LTDC簡介 2.2、LTDC控制器框圖介紹 2.3、LTDC相關(guān)寄存器介紹 2.4、LTDC相關(guān)HAL庫驅(qū)動介紹 三、RGB屏基本驅(qū)動步驟 四、編程實戰(zhàn)1 五、DMA2D介紹 5.1、DMA2D簡介 5.2、DMA2D框圖介紹 5.3、DMA2D相關(guān)寄存器介紹 六、DMA2D顏色填充的具體步驟 七、編程實戰(zhàn)2 八、

    2024年04月16日
    瀏覽(40)
  • 關(guān)于配置tft液晶屏時,屏幕不顯示遇到的問題

    關(guān)于配置tft液晶屏時,屏幕不顯示遇到的問題

    本人新手小白,在使用單片機配置tft屏幕時,發(fā)現(xiàn)屏幕一直顯示白屏(如下圖)。但是仔細檢查多遍后都無法找到問題所在,于是在CSDN上搜索了很久都沒有發(fā)現(xiàn)類似問題的解決方法。無奈只能重新回到代碼上尋找原因,終于功夫不負有心人讓我找到了問題并成功解決。以下向

    2024年02月16日
    瀏覽(11)
  • FPGAC程序固化——ZYNQ7020

    FPGAC程序固化——ZYNQ7020

    ? ? ? ? 剛開始學習FPGA的小伙伴們,想必都會遇見到一個問題就是程序固化。在FPGA開發(fā)過程中,我們不僅需要編寫代碼實現(xiàn)所需功能,還需要將這些代碼固定在FPGA芯片上,以確保其穩(wěn)定運行并符合項目需求。盡管此過程聽起來可能有些復雜,但它卻是使得FPGA成為如此強大工

    2024年02月21日
    瀏覽(24)
  • ZYNQ AC7020C的“點LED”實驗

    ZYNQ AC7020C的“點LED”實驗

    一、創(chuàng)建 Vivado 工程 1、啟動 Vivado 2、在 Vivado 開發(fā)環(huán)境里點擊“Create New Project”,創(chuàng)建一個新的工程 3、彈出一個建立新工程的向?qū)?,點擊“Next” 4、在彈出的對話框中輸入工程名和工程存放的目錄。需要注意工程路徑“Project location”不能有中文、空格,路徑名稱也不能太長

    2024年02月20日
    瀏覽(22)
  • Alinx ZYNQ 7020 LED調(diào)試--in RAM

    Alinx ZYNQ 7020 LED調(diào)試--in RAM

    設置撥碼開關(guān)為JTAG方式 燒寫LED bit stream a. 點擊“Program device”燒錄程序到FPGA中(重新上電程序就丟失了) b. /01_led/led.runs/impl_1/led.bit 程序燒錄到Flash中 ZYNQ與以往的直接燒錄Flash不同,首先必須PS,然后燒錄PL,參考這個實例。

    2024年01月18日
    瀏覽(13)
  • Zynq7020 使用 Video Processing Subsystem 實現(xiàn)圖像縮放

    Zynq7020 使用 Video Processing Subsystem 實現(xiàn)圖像縮放

    沒玩過圖像縮放都不好意思說自己玩兒過FPGA,這是CSDN某大佬說過的一句話,鄙人深信不疑。。。 目前市面上主流的FPGA圖像縮放方案如下:1:Xilinx的HLS方案,該方案簡單,易于實現(xiàn),但只能用于Xilinx自家的FPGA;2:非純Verilog方案,大部分代碼使用Verilog實現(xiàn),但中間的fifo或

    2024年01月16日
    瀏覽(21)
  • AD9361+zedboard(ZYNQ7020)的SDK工程(上)

    AD9361+zedboard(ZYNQ7020)的SDK工程(上)

    1.準備工具 vivado2018.3 HDL源碼:https://wiki.analog.com/resources/fpga/docs/releases no_os:https://github.com/analogdevicesinc/no-OS 注意:HDL源碼下載的版本要與vivado一致,我這里是2018.3 HDL版本選擇 2.構(gòu)建vivado工程 2.1編譯源文件 解壓下載的HDL文件的壓縮包 進入該文件夾C:AD9361hdl-hdl_2019_r1project

    2024年02月13日
    瀏覽(26)
  • ZYNQ 7020 之 FPGA知識點重塑筆記一——串口通信

    ZYNQ 7020 之 FPGA知識點重塑筆記一——串口通信

    目錄 一:串口通信簡介 二:三種常見的數(shù)據(jù)通信方式—RS232串口通信 2.1 實驗任務 2.2 串口接收模塊的設計 2.2.1 代碼設計 2.3?串口發(fā)送模塊的設計 2.3.1 代碼設計 2.4 頂層模塊編寫 2.4.1 代碼設計 2.4.2?仿真驗證代碼 2.4.3 仿真結(jié)果 2.4.4 板上驗證 ?????? 通信方式一般分為 串行

    2024年02月03日
    瀏覽(21)
  • 【Linux下6818開發(fā)板(ARM)】在液晶屏上顯示RGB顏色和BMP圖片

    【Linux下6818開發(fā)板(ARM)】在液晶屏上顯示RGB顏色和BMP圖片

    (??? ),hello我是 祐言 博客主頁:C語言基礎,Linux基礎,軟件配置領域博主?? 快上??,一起學習! 送給讀者的一句雞湯??: 集中起來的意志可以擊穿頑石! 作者水平很有限,如果發(fā)現(xiàn)錯誤,可在評論區(qū)指正,感謝?? ????????在嵌入式系統(tǒng)的開發(fā)中,我們經(jīng)常需要在液晶

    2024年02月08日
    瀏覽(24)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領取紅包,優(yōu)惠每天領

二維碼1

領取紅包

二維碼2

領紅包