国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

設計一個8位雙向循環(huán)移位寄存器vhdl

這篇具有很好參考價值的文章主要介紹了設計一個8位雙向循環(huán)移位寄存器vhdl。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

設計一個8位雙向循環(huán)移位寄存器vhdl

狀態(tài)表如下:

CLK RESET LOAD M 工作狀態(tài)

×

0

×

×

復位

1 1

×

置數(shù)

1 0 1 左移

1 0 0 右移

(4)不考慮串行輸出,移動不能用移位操作符。文章來源地址http://www.zghlxwxcb.cn/news/detail-508373.html

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY H2 IS
  PORT(CLK,LOAD,M,RESET:IN STD_LOGIC;
                   DATA:IN STD_LOGIC_VECTOR(7 DOWNTO 0);
						    Q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END ENTITY H2;
ARCHITECTURE hbv OF H2 IS
BEGIN
PROCESS(CLK,RESET,M,LOAD)
 VARIABLE Q1 : STD_lOGIC_VECTOR(7 DOWNTO 0);
 
BEGIN

	IF RESET = '0' THEN  --RESET低電平有效
		Q1 := (OTHERS=>'0');
	ELSIF CLK'EVENT AND CLK='1' THEN
		IF LOAD = '1' THEN   --預置
			Q1 := DATA;
		ELSE 
			IF M ='0' THEN   --右移
				Q1 := Q1(0)&Q1(7 DOWNTO 1);
			ELSIF M ='1' THEN    --左移
				Q1 := Q1(6 DOWNTO 0)&Q1(7);
			END IF;
		END IF;
	END IF;
	Q<=Q1;
END PROCESS;
END ARCHITECTURE hbv;

到了這里,關于設計一個8位雙向循環(huán)移位寄存器vhdl的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務,不擁有所有權,不承擔相關法律責任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權/違法違規(guī)/事實不符,請點擊違法舉報進行投訴反饋,一經(jīng)查實,立即刪除!

領支付寶紅包贊助服務器費用

相關文章

  • FPGA之移位寄存器

    FPGA之移位寄存器

    ????????SLICEM中的LUT可以配置為32位移位寄存器,而無需使用slice中可用的觸發(fā)器。以這種方式使用,每個LUT 可以將串 行數(shù)據(jù)延遲 1 到 32 個時鐘周期。移入D (DI1 LUT 引腳)和移出 Q31(MC31 LUT 引腳)線路將LUT級聯(lián),以形成更大的移位寄存器。因此,SLICEM 中的四個 LUT 被級聯(lián)以

    2024年02月19日
    瀏覽(24)
  • LABVIEW的移位寄存器

    LABVIEW的移位寄存器

    移位寄存器是數(shù)據(jù)的容器,可以包含任何數(shù)據(jù)類型。 添加移位寄存器后,在循環(huán)結構左右兩側(cè)的平行位置將各增加一個包含三角形的方框。左側(cè)的方框代表上一次循環(huán)的運行結果,而右側(cè)的代表本次循環(huán)要輸入的結果。 ?最終得到5次循環(huán)后的結果。 接下來我們做一個通過移

    2024年02月11日
    瀏覽(27)
  • 線性反饋移位寄存器(LSFR)

    線性反饋移位寄存器(LSFR)

    流密碼的流密鑰產(chǎn)生器可以通過線性驅(qū)動和非線性組合兩部分來實現(xiàn)。而線性驅(qū)動部分可以由線性反饋移位寄存器(LFSR)來實現(xiàn)。 線性反饋移位寄存器(LFSR):通常由移位寄存器和異或門邏輯組成。其主要應用在:偽隨機數(shù),偽噪聲序列,計數(shù)器,BIST,數(shù)據(jù)的加密和CRC校驗等

    2024年02月17日
    瀏覽(24)
  • HDLBits學習筆記——移位寄存器

    HDLBits學習筆記——移位寄存器

    為了方便做筆記,從移位寄存器(Shift Registers)這章開始按章節(jié)做記錄。 1.? ?4-bit Shift Registers 題目: Build a 4-bit shift register (right shift), with asynchronous reset, synchronous load, and enable. areset: Resets shift register to zero. load: Loads shift register with?data[3:0]?instead of shifting. ena: Shift right (q[3

    2023年04月08日
    瀏覽(29)
  • 【FGPA】Verilog:移位寄存器 | 環(huán)形計數(shù)器 | 4bit移位寄存器的實現(xiàn) | 4bit環(huán)形計數(shù)器的實現(xiàn)

    【FGPA】Verilog:移位寄存器 | 環(huán)形計數(shù)器 | 4bit移位寄存器的實現(xiàn) | 4bit環(huán)形計數(shù)器的實現(xiàn)

    ? 目錄 Ⅰ. 理論部分 0x00 移位寄存器(Shift Register) 0x01 環(huán)形計數(shù)器(Ring Counter)

    2024年02月05日
    瀏覽(64)
  • 4.3 移位寄存器的實現(xiàn)和應用

    4.3 移位寄存器的實現(xiàn)和應用

    在數(shù)字電路中,移位寄存器(英語:shift register)是一種在若干相同時間脈沖下工作的以觸發(fā)器為基礎的器件,數(shù)據(jù)以并行或串行的方式輸入到該器件中,然后每個時間脈沖依次向左或右移動一個比特,在輸出端進行輸出。這種移位寄存器是一維的,事實上還有多維的移位寄存

    2024年02月10日
    瀏覽(25)
  • 使用FPGA實現(xiàn)桶形移位寄存器

    使用FPGA實現(xiàn)桶形移位寄存器

    我給大家介紹的是邏輯/算術左移移位寄存器。實現(xiàn)的功能是根據(jù)輸入信號shift將輸入信號進行移位,高位移除,低位補0。我建立的工程是由3個獨立的桶形移位寄存器組成的。 library ieee; use ieee.std_logic_1164.all; entity barrel is? ?? ?port( inp : in std_logic_vector(7 downto 0); ?? ??? ??

    2024年04月29日
    瀏覽(33)
  • Verilog基礎之十一、移位寄存器實現(xiàn)

    Verilog基礎之十一、移位寄存器實現(xiàn)

    目錄 一、前言 二、工程設計 ?2.1 工程代碼 2.2 綜合結果 2.3 仿真結果 ????移位寄存器SRL在工程中屬于使用頻率較高個模塊,可用于存儲數(shù)據(jù),實現(xiàn)串并轉(zhuǎn)換;根據(jù)數(shù)據(jù)移動方向可分為左移寄存器,右移寄存器,左移是向數(shù)據(jù)高位移動,右移是向數(shù)據(jù)低位移動。? 工程中包

    2024年02月11日
    瀏覽(25)
  • 用移位寄存器實現(xiàn)同步FIFO,帶空滿判斷

    用移位寄存器實現(xiàn)同步FIFO,帶空滿判斷

    ????????如圖所示,同步FIFO帶有push信號和pop信號,push代表往隊列里面壓入一個數(shù)據(jù),pop代表往隊列外面排出一個數(shù)據(jù)。 ? ? ? ? 同步FIFO的空滿判斷用一個計數(shù)器來判斷,收到push信號計數(shù)器加1,收到pop信號時計數(shù)器減1,考慮同時push和pop的情況計數(shù)器不變,當計數(shù)器為

    2024年02月14日
    瀏覽(57)
  • 實驗四 用集成移位寄存器實現(xiàn)序列檢測器

    實驗四 用集成移位寄存器實現(xiàn)序列檢測器

    一、實驗要求 用移位寄存器和與非門設計一個 1101 序列檢測器。電路連續(xù)不停地工作,對 串行輸入的序列進行檢測,當連續(xù)檢測 4 個碼元符合檢測碼 1101 時,檢測器輸出 為 1 ,指示燈亮,其他情況下輸出為 0 ,指示燈滅。 二、實驗設備 1 . Mini-FPGA 開發(fā)板( Cyclone IV 系列

    2024年02月03日
    瀏覽(20)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領取紅包,優(yōu)惠每天領

二維碼1

領取紅包

二維碼2

領紅包