国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用

這篇具有很好參考價(jià)值的文章主要介紹了4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請(qǐng)大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

0 74x194的介紹

在數(shù)字電路中,移位寄存器(英語:shift register)是一種在若干相同時(shí)間脈沖下工作的以觸發(fā)器為基礎(chǔ)的器件,數(shù)據(jù)以并行或串行的方式輸入到該器件中,然后每個(gè)時(shí)間脈沖依次向左或右移動(dòng)一個(gè)比特,在輸出端進(jìn)行輸出。這種移位寄存器是一維的,事實(shí)上還有多維的移位寄存器,即輸入、輸出的數(shù)據(jù)本身就是一些列位。實(shí)現(xiàn)這種多維移位寄存器的方法可以是將幾個(gè)具有相同位數(shù)的移位寄存器并聯(lián)起來。
參考文檔74LS194\74HC194的功能表
74LS194計(jì)數(shù)器及其應(yīng)用
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
一個(gè)D觸發(fā)器可以實(shí)現(xiàn)一個(gè)一位的寄存器,兩個(gè)觸發(fā)器可以實(shí)現(xiàn)一個(gè)兩位的寄存器,連接同一個(gè)時(shí)鐘
移位寄存器如下圖
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用

4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用

1 74x194的實(shí)現(xiàn)

1.1 設(shè)計(jì)文件

module p_74x194(clk,clr_l,rin,lin,s,d,q );
input clk,clr_l,rin,lin; 
input [1:0] s;
input [3:0] d;
output [3:0] q;
//reg [3:0] q=4'b0000;
reg [3:0] q=4'b0000;//注意對(duì)其賦初值,因?yàn)楹竺鏁?huì)用它
always @ (posedge clk or negedge clr_l)begin 
    if (clr_l==0) q<=0;
    else case (s)
        0:q<=q; //保持
        1:q<={rin,q[3:1]}; //右移
        2:q<={q[2:0],lin}; //左移
        3:q<=d; //裝載
        default q<=4'bx ;//不可能發(fā)生
    endcase
/*    else case (s)
        0:q<=q; //保持
        1:begin q[3]<=rin;q[2]<=q[3];q[1]<=q[2];q[0]<=q[1];end//右移
        2:begin q[3]<=q[2];q[2]<=q[1];q[1]<=q[0];q[0]<=lin;end//左移
        3:q<=d; //裝載
        default q<=4'bx ; 
    endcase*/
end
endmodule

1.2 仿真文件

1.2.1 仿真文件1

//教材P99頁
module sim_p_74x194;
reg clk = 0;
reg clr_l = 1;
reg rin = 0;
reg lin = 1;
reg [1:0] s = 3;//裝載3
reg [3:0] d = 4'b0101;
wire [3:0] q;
p_74x194 p_74x194_inst0(clk,clr_l,rin,lin,s,d,q);

    initial begin
    clk = 1'b0;
    #10
    clk = 1'b1;
    #10
    clk = 1'b0;
    s = 2'b10;//2左移
    #10
    clk = 1'b1;//第2個(gè)時(shí)鐘上升沿,執(zhí)行左移,左移后應(yīng)q=4'b1011;
    #10
    clk = 1'b0;
    #10
    clk = 1'b1;//第3個(gè)時(shí)鐘上升沿,執(zhí)行左移,左移后應(yīng)q=4'b0111;
    #10
    clk = 1'b0;
    #10
    clk = 1'b1;//第4個(gè)時(shí)鐘上升沿,執(zhí)行左移,左移后應(yīng)q=4'b1111;
    #10
    clk = 1'b0;
    s = 2'b01;//右移
    end
    always #10 clk = ~clk;
endmodule

1.2.2 仿真文件2

//自己編寫的測(cè)試文件
module sim_p_74x194( );

reg clk,clr_l,rin,lin; 
reg [1:0] s;
reg [3:0] d;
wire [3:0] q;
p_74x194 p_74x194_inst0(
    .clk(clk),
    .clr_l(clr_l),
    .rin(rin),
    .lin(lin),
    .s(s),
    .d(d),
    .q(q) 
    );
    always #10 clk = ~clk;
    initial begin
    clk = 1'b0;
    clr_l = 1'b1;
    rin = 1'b0;
    lin = 1'b1;
    s = 2'b11;
    d = 4'b0101;
    #30
    s = 2'b10;
    #60
    s = 2'b01;
    end
endmodule

1.3 仿真結(jié)果

1.3.1 仿真結(jié)果對(duì)q賦初值

reg [3:0] q=4’b0000;
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
將結(jié)果轉(zhuǎn)換成二進(jìn)制,便于觀察
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用

1.3.2 未對(duì)q賦初值

4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
將結(jié)果轉(zhuǎn)換成二進(jìn)制,便于觀察
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用

2 使用74x194IP核實(shí)現(xiàn)11001序列發(fā)生器

2.1 分析

4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
所有反饋邏輯是
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
74x194的連接
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用

2.2 設(shè)計(jì)文件

1.先把IP核添加進(jìn)來,點(diǎn)擊Settings 在彈出的窗口中選 擇點(diǎn)擊左側(cè)的IP圖標(biāo)點(diǎn)擊庫管理(Repository Manager)頁框在庫管理頁框中點(diǎn)擊“+”圖標(biāo)增加IP目錄
2.選擇設(shè)計(jì)好了的p_74x194工程目錄,目錄被加載進(jìn)來,有一個(gè)IP
3.點(diǎn)擊流程導(dǎo)航下工程項(xiàng)下的IP目錄( IP Catalog),就可以看見剛剛添加的“p_74x194_0”IP核 。
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
4.雙擊p_74x194_0 彈出IP窗口??梢钥吹皆揑P核的邏輯符號(hào)如圖所示。這個(gè)窗口可以用來編輯IP實(shí)例組件的名稱。點(diǎn)擊ok實(shí)例化IP,例化成功后4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用
4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用

module seq_11001_3(
    input clk, 
    output led
    );
wire lin; 
reg[1:0] s=2'b10;//2左移
wire[3:0] q;
assign lin=~q[2]|~q[1];//反饋函數(shù)lin=q2'+q1'
assign led=lin;//可以將q[n]送到輸出也是可實(shí)現(xiàn)11001序列
p_74x194_0 uut( //調(diào)用IP核 
    .clk(clk),
    .clr_l(1), //清零端無效
    .rin(0), //74x194 rin接地
    .lin(lin), //左移輸入端等于q2'+q1'
    .s(s), //左移方式,s=2'b10
    .d(0), //數(shù)據(jù)輸入端可以接任意值
    .q(q) //輸出送q 
    //需要對(duì)p_74x194.v中的q賦初值,因?yàn)楹竺鏁?huì)用
    );
endmodule

2.3 仿真文件

與4.1 時(shí)鐘同步狀態(tài)機(jī)的設(shè)計(jì)中的seq_11001_1仿真文件一樣

module sim_seq_11001_3(    );
    reg clk;
    wire led;
    seq_11001_3 seq_11001_3_inst0( 
    .clk(clk),
    .led(led)
    );
    initial begin
       clk = 0;
    end
    always #10 clk =~clk;
endmodule

2.4 約束文件

## clk
set_property PACKAGE_PIN D4 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk] 

##led
set_property PACKAGE_PIN P9 [get_ports {led}] 
set_property IOSTANDARD LVCMOS33 [get_ports {led}]

2.5 仿真結(jié)果

4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用文章來源地址http://www.zghlxwxcb.cn/news/detail-499301.html

到了這里,關(guān)于4.3 移位寄存器的實(shí)現(xiàn)和應(yīng)用的文章就介紹完了。如果您還想了解更多內(nèi)容,請(qǐng)?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場(chǎng)。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請(qǐng)注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請(qǐng)點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • Verilog基礎(chǔ)之十一、移位寄存器實(shí)現(xiàn)

    Verilog基礎(chǔ)之十一、移位寄存器實(shí)現(xiàn)

    目錄 一、前言 二、工程設(shè)計(jì) ?2.1 工程代碼 2.2 綜合結(jié)果 2.3 仿真結(jié)果 ????移位寄存器SRL在工程中屬于使用頻率較高個(gè)模塊,可用于存儲(chǔ)數(shù)據(jù),實(shí)現(xiàn)串并轉(zhuǎn)換;根據(jù)數(shù)據(jù)移動(dòng)方向可分為左移寄存器,右移寄存器,左移是向數(shù)據(jù)高位移動(dòng),右移是向數(shù)據(jù)低位移動(dòng)。? 工程中包

    2024年02月11日
    瀏覽(25)
  • 使用FPGA實(shí)現(xiàn)桶形移位寄存器

    使用FPGA實(shí)現(xiàn)桶形移位寄存器

    我給大家介紹的是邏輯/算術(shù)左移移位寄存器。實(shí)現(xiàn)的功能是根據(jù)輸入信號(hào)shift將輸入信號(hào)進(jìn)行移位,高位移除,低位補(bǔ)0。我建立的工程是由3個(gè)獨(dú)立的桶形移位寄存器組成的。 library ieee; use ieee.std_logic_1164.all; entity barrel is? ?? ?port( inp : in std_logic_vector(7 downto 0); ?? ??? ??

    2024年04月29日
    瀏覽(33)
  • 實(shí)驗(yàn)四 用集成移位寄存器實(shí)現(xiàn)序列檢測(cè)器

    實(shí)驗(yàn)四 用集成移位寄存器實(shí)現(xiàn)序列檢測(cè)器

    一、實(shí)驗(yàn)要求 用移位寄存器和與非門設(shè)計(jì)一個(gè) 1101 序列檢測(cè)器。電路連續(xù)不停地工作,對(duì) 串行輸入的序列進(jìn)行檢測(cè),當(dāng)連續(xù)檢測(cè) 4 個(gè)碼元符合檢測(cè)碼 1101 時(shí),檢測(cè)器輸出 為 1 ,指示燈亮,其他情況下輸出為 0 ,指示燈滅。 二、實(shí)驗(yàn)設(shè)備 1 . Mini-FPGA 開發(fā)板( Cyclone IV 系列

    2024年02月03日
    瀏覽(20)
  • 用移位寄存器實(shí)現(xiàn)同步FIFO,帶空滿判斷

    用移位寄存器實(shí)現(xiàn)同步FIFO,帶空滿判斷

    ????????如圖所示,同步FIFO帶有push信號(hào)和pop信號(hào),push代表往隊(duì)列里面壓入一個(gè)數(shù)據(jù),pop代表往隊(duì)列外面排出一個(gè)數(shù)據(jù)。 ? ? ? ? 同步FIFO的空滿判斷用一個(gè)計(jì)數(shù)器來判斷,收到push信號(hào)計(jì)數(shù)器加1,收到pop信號(hào)時(shí)計(jì)數(shù)器減1,考慮同時(shí)push和pop的情況計(jì)數(shù)器不變,當(dāng)計(jì)數(shù)器為

    2024年02月14日
    瀏覽(57)
  • Verilog 實(shí)現(xiàn)偽隨機(jī)數(shù)生成器(線性反饋移位寄存器)

    Verilog 實(shí)現(xiàn)偽隨機(jī)數(shù)生成器(線性反饋移位寄存器)

    參考文獻(xiàn)1 不簡(jiǎn)單的進(jìn)行移位,而是在移位的基礎(chǔ)上加上異或門,如題目所示,這就相當(dāng)于每進(jìn)行一次移位,寄存器中的值會(huì)發(fā)生改變,一直移動(dòng),一直改變,就形成了偽隨機(jī)數(shù)。

    2024年02月09日
    瀏覽(28)
  • 【Verilog編程】線性反饋移位寄存器(LFSR)原理及Verilog代碼實(shí)現(xiàn)

    【Verilog編程】線性反饋移位寄存器(LFSR)原理及Verilog代碼實(shí)現(xiàn)

    移位寄存器 :指若干個(gè)寄存器排成一列,每個(gè)寄存器中存放1bit二進(jìn)制數(shù)據(jù)(0或1),每個(gè)時(shí)鐘周期向左或向右移動(dòng)一個(gè)bit。下圖所示為一個(gè)向右移動(dòng)的移位寄存器。 反饋移位寄存器(Feedback Shift Register,F(xiàn)SR) :每個(gè)時(shí)鐘脈沖,移位寄存器向右移動(dòng)一位,則移位寄存器的左左側(cè)就

    2024年02月15日
    瀏覽(21)
  • m基于FPGA的桶形移位寄存器verilog實(shí)現(xiàn),包含testbench

    m基于FPGA的桶形移位寄存器verilog實(shí)現(xiàn),包含testbench

    目錄 1.算法仿真效果 2.算法涉及理論知識(shí)概要 2.1、桶形移位寄存器的基本原理 2.2、桶形移位寄存器的數(shù)學(xué)模型 2.3、桶形移位寄存器的實(shí)現(xiàn)步驟 3.Verilog核心程序 4.完整算法代碼文件 本系統(tǒng)進(jìn)行了兩個(gè)平臺(tái)的開發(fā),分別是: Vivado2019.2 Quartusii18.0+ModelSim-Altera 6.6d ?Starter Edition 其

    2024年02月04日
    瀏覽(30)
  • verilog——移位寄存器

    在Verilog中,你可以使用移位寄存器來實(shí)現(xiàn)數(shù)據(jù)的移位操作。移位寄存器是一種常用的數(shù)字電路,用于將數(shù)據(jù)向左或向右移動(dòng)一個(gè)或多個(gè)位置。這在數(shù)字信號(hào)處理、通信系統(tǒng)和其他應(yīng)用中非常有用。以下是一個(gè)使用Verilog實(shí)現(xiàn)的簡(jiǎn)單移位寄存器的示例: module ShiftRegister ( ? inpu

    2024年02月05日
    瀏覽(32)
  • FPGA之移位寄存器

    FPGA之移位寄存器

    ????????SLICEM中的LUT可以配置為32位移位寄存器,而無需使用slice中可用的觸發(fā)器。以這種方式使用,每個(gè)LUT 可以將串 行數(shù)據(jù)延遲 1 到 32 個(gè)時(shí)鐘周期。移入D (DI1 LUT 引腳)和移出 Q31(MC31 LUT 引腳)線路將LUT級(jí)聯(lián),以形成更大的移位寄存器。因此,SLICEM 中的四個(gè) LUT 被級(jí)聯(lián)以

    2024年02月19日
    瀏覽(24)
  • LABVIEW的移位寄存器

    LABVIEW的移位寄存器

    移位寄存器是數(shù)據(jù)的容器,可以包含任何數(shù)據(jù)類型。 添加移位寄存器后,在循環(huán)結(jié)構(gòu)左右兩側(cè)的平行位置將各增加一個(gè)包含三角形的方框。左側(cè)的方框代表上一次循環(huán)的運(yùn)行結(jié)果,而右側(cè)的代表本次循環(huán)要輸入的結(jié)果。 ?最終得到5次循環(huán)后的結(jié)果。 接下來我們做一個(gè)通過移

    2024年02月11日
    瀏覽(27)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請(qǐng)作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包