国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

【數(shù)字IC設計】Design Compiler入門

這篇具有很好參考價值的文章主要介紹了【數(shù)字IC設計】Design Compiler入門。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

本博客參考自文章鏈接
本文以全加器為例,演示DC綜合的流程。設計文件如下:

module full_adder(
input clk,
input rstn,
input [31:0] a_in,
input [31:0] b_in,
input c_in,
output reg [31:0] sum_out,
output reg c_out
);
wire c_out_w;
wire [31:0] sum_out_w;

assign {c_out_w,sum_out_w}=a_in+b_in+c_in;

always@(posedge clk)
if(~rstn)
   c_out<=0;
else
   c_out<=c_out_w;
//
always@(posedge clk)
if(~rstn)
   sum_out<=0;
else
   sum_out<=sum_out_w;

endmodule

創(chuàng)建library文件夾

創(chuàng)建library文件夾,將工藝庫文件放入此文件夾,如下圖所示
【數(shù)字IC設計】Design Compiler入門

設置環(huán)境變量

啟動dc,輸入

set_app_var search_path ./library

輸入

set_app_var target_library sc_max.db

設置目標庫
輸入

set_app_var link_library sc_max.db

設置鏈接庫
結果如下圖所示
【數(shù)字IC設計】Design Compiler入門

讀入設計文件

輸入命令:

read_file -format verilog {./full_adder.v}

輸入后顯示如下:
【數(shù)字IC設計】Design Compiler入門
然后輸入check_design檢查設計,如下圖所示
【數(shù)字IC設計】Design Compiler入門
顯示1,說明讀取無誤

添加約束

創(chuàng)建時鐘

create_clock -period 10 [get_ports clk]

設置輸入延遲

set_input_delay -max 3 -clock clk [remove_from_collection [all_inputs] clk]

設置輸出延遲

set_output_delay -max 2.5 -clock clk [all_outputs]

以及設置輸入轉換時間

set_input_transition 0.15 [all_inputs]

最后輸入check_design進行檢查

check_design

整個過程如圖所示:
【數(shù)字IC設計】Design Compiler入門

綜合

輸入compile進行綜合。如下圖所示
【數(shù)字IC設計】Design Compiler入門

查看綜合報告

輸入report_clock查看時鐘
【數(shù)字IC設計】Design Compiler入門
輸入report_timing查看時序報告
【數(shù)字IC設計】Design Compiler入門
可以看到,slack為3.77,大于0,時序是MET的。
輸入report_area查看綜合后的面積:
【數(shù)字IC設計】Design Compiler入門

輸出相關文件

生成一個.sdc結尾的時序約束文件:

write_sdc full_adder.sdc

文件內(nèi)容就是我們添加的約束:
【數(shù)字IC設計】Design Compiler入門

輸出用于做后仿的.sdf時延文件:

write_sdf full_adder.sdf

輸出RTL的網(wǎng)表文件

write_file -format verilog -output full_adder_netlist.v

網(wǎng)表文件的內(nèi)容如下圖所示
【數(shù)字IC設計】Design Compiler入門
由圖可以發(fā)現(xiàn),網(wǎng)表文件是RTL代碼的門級描述。

查看時序違例

為了讓時序違例,首先修改時鐘約束

 create_clock -period 1 [get_ports clk]

然后重新輸入compile綜合。
輸入report_timing,結果如下:
【數(shù)字IC設計】Design Compiler入門
可以發(fā)現(xiàn),將時鐘約束為1ns后,時序發(fā)生違例。文章來源地址http://www.zghlxwxcb.cn/news/detail-412299.html

到了這里,關于【數(shù)字IC設計】Design Compiler入門的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務,不擁有所有權,不承擔相關法律責任。如若轉載,請注明出處: 如若內(nèi)容造成侵權/違法違規(guī)/事實不符,請點擊違法舉報進行投訴反饋,一經(jīng)查實,立即刪除!

領支付寶紅包贊助服務器費用

相關文章

  • 【IC設計】ICC1 workshop lab guide 學習筆記——Lab 2 Design Planning Task5-9

    【IC設計】ICC1 workshop lab guide 學習筆記——Lab 2 Design Planning Task5-9

    In the task following this one you will use “Power Network Synthesis” (PNS) to automate the creation of power/ground core and individual macro rings, as well as vertical and horizontal straps. If you want to create rings around groups of macros, that is done prior to PNS, which is what this task will accomplish. 在接下來的任務中,你將使用電源

    2024年02月13日
    瀏覽(13)
  • 數(shù)字IC設計之——低功耗設計

    數(shù)字IC設計之——低功耗設計

    目錄 概述 背景 為什么需要低功耗設計 CMOS IC功耗分析 基本概念 功耗的分類 功耗相關構成 不同層次低功耗設計方法 芯片中的功耗分布以及對應的低功耗方案 低功耗方案 系統(tǒng)算法級的低功耗技術 編碼階段的低功耗技術 門控時鐘 Clock Gating 物理實施的低功耗技術 操作數(shù)分離

    2023年04月18日
    瀏覽(22)
  • 面經(jīng)-2023-中興-數(shù)字IC設計

    專欄推薦: 2023 數(shù)字IC設計秋招復盤——數(shù)十家公司筆試題、面試實錄 專欄首頁: 2023 數(shù)字IC設計秋招復盤——數(shù)十家公司筆試題、面試實錄 專欄內(nèi)容: 筆試復盤篇 2023秋招過程中整理的筆試題,來源包括我自己求職筆試以及整理其他同學的筆試。包含華為、中興、聯(lián)發(fā)科、

    2024年02月12日
    瀏覽(21)
  • 模擬IC與數(shù)字IC設計該怎么選?哪個崗位薪資高?

    模擬IC與數(shù)字IC設計該怎么選?哪個崗位薪資高?

    很多同學想要入行IC,但不知道數(shù)字和模擬方向怎么選? 如果沒有親身體會過模擬設計,并有發(fā)自內(nèi)心的自信或者興趣,一般不看好純小白去學模擬電路設計。 模擬設計想做好,沒有數(shù)學功底,沒有電路分析的功底,很難會有出彩的機會。就連零極點分析都搞不清、基爾霍夫

    2024年02月03日
    瀏覽(26)
  • 數(shù)字IC前端設計流程及詳細解釋

    數(shù)字IC前端設計流程及詳細解釋

    數(shù)字前端以設計架構為起點,以生成可以布局布線的網(wǎng)表為終點。 使用設計的電路實現(xiàn)想法,主要包括:基本的RTL編程和仿真。前端設計還可以包括 IC系統(tǒng)設計、前仿真波形驗證、綜合、STA、FM驗證。其中 IC系統(tǒng)設計最難掌握,它需要多年的IC設計經(jīng)驗和熟悉那個應用領域,

    2024年02月06日
    瀏覽(29)
  • 數(shù)字IC設計之靜態(tài)時序分析(STA)

    數(shù)字IC設計之靜態(tài)時序分析(STA)

    靜態(tài)時序分析原理 什么是STA 分析(計算)design是否滿足timing約束的要求 DFF(sequential cell—有clk的器件)setup/hold需求 復位/設置信號 信號脈沖寬度 門控時鐘信號 計算design是否滿足DRC的要求 max_capacitance—節(jié)點電容 max_transition—信號爬升時間 max_fanout—負載能力有多少 如上圖所

    2024年02月05日
    瀏覽(23)
  • 數(shù)字IC設計之時序分析基礎概念匯總

    數(shù)字IC設計之時序分析基礎概念匯總

    ?1 時鐘Clock 理想的時鐘模型是一個占空比為50%且周期固定的方波。時鐘是FPGA中同步電路邏輯運行的一個基準。理想的時鐘信號如下圖: 2 時鐘抖動Clock Jitter 理想的時鐘信號是完美的方波,但是實際的方波是存在一些時鐘抖動的。那么什么是時鐘抖動呢?時鐘抖動,Clock Jitter,

    2024年02月07日
    瀏覽(22)
  • 數(shù)字 IC 設計職位經(jīng)典筆/面試題(二)

    數(shù)字 IC 設計職位經(jīng)典筆/面試題(二)

    共100道經(jīng)典筆試、面試題目 (文末可全領) 三種資源:BLOCK RAM,觸發(fā)器(FF),查找表(LUT);注意事項: 1:在生成 RAM 等存儲單元時,應該首選 BLOCK RAM 資源; 其原因有二: 第一:使用 BLOCK RAM 等資源,可以節(jié)約更多的 FF 和 4-LUT 等底層可編程單元。使用BLOCK RAM 可以說是

    2024年02月17日
    瀏覽(29)
  • 【數(shù)字IC設計】VCS仿真DesignWare IP

    【數(shù)字IC設計】VCS仿真DesignWare IP

    DesignWare是SoC/ASIC設計者最鐘愛的設計IP庫和驗證IP庫。它包括一個獨立于工藝的、經(jīng)驗證的、可綜合的虛擬微架構的元件集合,包括邏輯、算術、存儲和專用元件系列,超過140個模塊。DesignWare和 Design Compiler的結合可以極大地改進綜合的結果,并縮短設計周期。Synopsys在DesignW

    2024年02月14日
    瀏覽(22)
  • 數(shù)字IC設計中的握手與反壓

    數(shù)字IC設計中的握手與反壓

    本文的主要目的是介紹清楚數(shù)字IC設計中握手和反壓的原理和意義 如圖所示,信號從輸入端到A,經(jīng)過模塊A處理后,再送入到B模塊進行處理。為了防止B錯誤讀取A中的數(shù)據(jù),A與B之間添加了信號Valid,只有當Valid信號為真時,A輸出的數(shù)據(jù)才是有效數(shù)據(jù),同時,為了防止B出現(xiàn)問題

    2024年02月16日
    瀏覽(16)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領取紅包,優(yōu)惠每天領

二維碼1

領取紅包

二維碼2

領紅包