国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

IC面試??碱} Verilog三分頻電路設(shè)計(jì)(占空比50%,三分之一,三分之二)

這篇具有很好參考價(jià)值的文章主要介紹了IC面試常考題 Verilog三分頻電路設(shè)計(jì)(占空比50%,三分之一,三分之二)。希望對大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

實(shí)現(xiàn)三分頻電路最簡單的是:

利用計(jì)數(shù)器實(shí)現(xiàn)。

時(shí)序圖分析(本人比較懶,平常科研忙,所以直接手畫時(shí)序圖了,懶得用軟件畫了):

直接上圖分析:利用計(jì)數(shù)器每隔三個(gè)周期信號翻轉(zhuǎn)一次,同時(shí)在不同的計(jì)數(shù)下翻轉(zhuǎn)得到的同步信號

clk_1和clk_2,再利用異或即可實(shí)現(xiàn)出一個(gè)不同占空比的三分頻信號(同樣的方法也可擴(kuò)展到其他奇數(shù)分頻設(shè)計(jì)中)。
三分頻電路,IC,面試題,fpga開發(fā),面試例如占空比50%

module Div_three(
  input    clk,
  input    rst_n,
  output    div_three
);
reg   [1:0]  cnt; 
reg       div_clk1;
reg       div_clk2;
always @(posedge clk or negedge rst_n)begin
  if(rst_n == 1'b0)begin
    cnt <= 0;
  end
  else if(cnt == 2)
    cnt <= 0;
  else begin
    cnt <= cnt + 1;
  end
end
always @(posedge clk or negedge rst_n)begin
  if(rst_n == 1'b0)begin
    div_clk1 <= 0;
  end
  else if(cnt == 0)begin
    div_clk1 <= ~div_clk1;
  end
  else
    div_clk1 <= div_clk1;
end
always @(negedge clk or negedge rst_n)begin
  if(rst_n == 1'b0)begin
    div_clk2 <= 0;
  end
  else if(cnt == 2)begin
    div_clk2 <= ~div_clk2;
  end
  else
    div_clk2 <= div_clk2;
end
assign  div_three = div_clk2 ^ div_clk1;
endmodule

占空比三分之一:
只用將上述代碼中div_clk2翻轉(zhuǎn)規(guī)律更改為 cnt==1 ,并且改為上升沿采樣:

always @(posedgeclk or negedge rst_n)begin
  if(rst_n == 1'b0)begin
    div_clk2 <= 0;
  end
  else if(cnt == 1)begin
    div_clk2 <= ~div_clk2;
  end
  else
    div_clk2 <= div_clk2;
end
assign  div_three = div_clk2 ^ div_clk1;

占空比三分之二:
將上述代碼中div_clk2翻轉(zhuǎn)規(guī)律更改為 cnt==2 ,并且也是上升沿采樣:文章來源地址http://www.zghlxwxcb.cn/news/detail-602261.html

always @(posedgeclk or negedge rst_n)begin
  if(rst_n == 1'b0)begin
    div_clk2 <= 0;
  end
  else if(cnt == 2)begin
    div_clk2 <= ~div_clk2;
  end
  else
    div_clk2 <= div_clk2;
end
assign  div_three = div_clk2 ^ div_clk1;

到了這里,關(guān)于IC面試??碱} Verilog三分頻電路設(shè)計(jì)(占空比50%,三分之一,三分之二)的文章就介紹完了。如果您還想了解更多內(nèi)容,請?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • 偶數(shù)分頻器電路設(shè)計(jì)

    偶數(shù)分頻器電路設(shè)計(jì)

    目錄 偶數(shù)分頻器電路設(shè)計(jì) 1、偶數(shù)分頻器電路簡介 2、實(shí)驗(yàn)任務(wù) 3、程序設(shè)計(jì) 方法1: 3.1、8分頻電路代碼如下: 3.2、仿真驗(yàn)證 3.2.1、編寫 TB 文件 3.2.2、仿真驗(yàn)證 方法2: 4、計(jì)數(shù)器進(jìn)行分頻 4.1、仿真測試 ? ? ? ?分頻器在邏輯設(shè)計(jì)中一直都擔(dān)任著很重要的角色,分頻器一般包

    2024年02月09日
    瀏覽(28)
  • Virtuoso IC618-10uA電流基準(zhǔn)的二級Miller補(bǔ)償運(yùn)放電路設(shè)計(jì)

    Virtuoso IC618-10uA電流基準(zhǔn)的二級Miller補(bǔ)償運(yùn)放電路設(shè)計(jì)

    以帶隙電路中的放大器為例,其主要作用是使兩個(gè)輸入點(diǎn)的電平相等,所以只要增益足夠就可以了,另外為了防止振蕩,相位裕度也要足夠,其他指標(biāo)不是特別重要。下圖為放大器提供偏置電流為理想電流源,在實(shí)際工藝制造過程中一般做不出理想電流源。 由一個(gè)電流鏡做負(fù)

    2023年04月25日
    瀏覽(24)
  • 基于Verilog設(shè)計(jì)的復(fù)位電路設(shè)計(jì)

    基于Verilog設(shè)計(jì)的復(fù)位電路設(shè)計(jì)

    復(fù)位指的是將寄存器中的值全部置成默認(rèn)值,一般復(fù)位包括同步復(fù)位和異步復(fù)位,復(fù)位可以由硬件開關(guān)控制,也可以由軟件邏輯控制。復(fù)位電路復(fù)雜是因?yàn)閺?fù)位本身是對大規(guī)模的硬件單元進(jìn)行一種操作,必須要結(jié)合底層的設(shè)計(jì)來考慮問題。 相信大家在學(xué)習(xí) FPGA 或者 ASIC 的時(shí)候

    2024年02月02日
    瀏覽(27)
  • 【IC基礎(chǔ)】集成電路設(shè)計(jì)領(lǐng)域術(shù)語縮寫及名詞解釋(字母索引版)

    【IC基礎(chǔ)】集成電路設(shè)計(jì)領(lǐng)域術(shù)語縮寫及名詞解釋(字母索引版)

    筆者在大三上學(xué)習(xí)學(xué)習(xí)《SoC設(shè)計(jì)導(dǎo)論》時(shí)整理的有關(guān)集成電路設(shè)計(jì)領(lǐng)域的常見有英文縮寫和對應(yīng)的名詞解釋,文中標(biāo)注的頁碼均出自《SoC設(shè)計(jì)方法與實(shí)現(xiàn)》這本參考書: 目錄 前言: 目錄 A B C CTG(Clock Tree Generation):時(shí)鐘樹生成 D F I J L N P R S T U ASIC(Application Specific Integrated Cir

    2024年02月16日
    瀏覽(114)
  • 【【Verilog典型電路設(shè)計(jì)之log函數(shù)的Verilog HDL設(shè)計(jì)】】

    【【Verilog典型電路設(shè)計(jì)之log函數(shù)的Verilog HDL設(shè)計(jì)】】

    log函數(shù)是一種典型的單目計(jì)算函數(shù),與其相應(yīng)的還有指數(shù)函數(shù)、三角函數(shù)等。對于單目計(jì)算函數(shù)的硬件加速器設(shè)計(jì)一般兩種簡單方法:一種是查找表的方式;一種是使用泰勒級數(shù)展開成多項(xiàng)式進(jìn)行近似計(jì)算。這兩種方式在設(shè)計(jì)方法和精確度方面有很大的不同。查找表方式是通過

    2024年02月11日
    瀏覽(32)
  • Verilog學(xué)習(xí)筆記(3):Verilog數(shù)字邏輯電路設(shè)計(jì)方法

    Verilog學(xué)習(xí)筆記(3):Verilog數(shù)字邏輯電路設(shè)計(jì)方法

    例:用Verilog設(shè)計(jì)模256(8bits)計(jì)數(shù)器 (a)可綜合程序描述方式 (b)常見的錯(cuò)誤描述方式 同時(shí)Verilog的電路描述方式具有多樣性,這也決定了對于電路設(shè)計(jì)的多樣性。 例:用Verilog設(shè)計(jì)數(shù)字多路選擇器 (a)采用真值表形式的代碼 (b)采用邏輯表達(dá)式形式的代碼 (c)采用結(jié)

    2023年04月08日
    瀏覽(33)
  • Verilog含1個(gè)數(shù)統(tǒng)計(jì)電路設(shè)計(jì)

    Verilog含1個(gè)數(shù)統(tǒng)計(jì)電路設(shè)計(jì)

    項(xiàng)目要求: 待檢測數(shù)據(jù)“1”由外部輸入,并用LED燈顯示; 待檢測串行數(shù)據(jù)長度為256位,由ROM輸出; 一組數(shù)據(jù)檢測完畢后,指示信號DONE為1; “1”的個(gè)數(shù)由數(shù)碼管顯示; 設(shè)計(jì)時(shí)需給出仿真結(jié)果。 設(shè)計(jì)結(jié)果下載到FPGA上實(shí)現(xiàn)功能的硬件驗(yàn)證。 Clk_1k 頻率為1kHz的時(shí)鐘信號,上升沿

    2024年02月08日
    瀏覽(20)
  • 時(shí)序電路的Verilog設(shè)計(jì)——基本時(shí)序元件

    目錄 一、Verilog語法 1.1 時(shí)鐘邊緣檢測函數(shù) 1.2 邊緣觸發(fā)型時(shí)序模塊的verilog設(shè)計(jì)規(guī)律

    2024年02月22日
    瀏覽(25)
  • 【【verilog典型電路設(shè)計(jì)之流水線結(jié)構(gòu)】】

    【【verilog典型電路設(shè)計(jì)之流水線結(jié)構(gòu)】】

    下圖是一個(gè)4位的乘法器結(jié)構(gòu),用verilog HDL 設(shè)計(jì)一個(gè)兩級流水線加法器樹4位乘法器 對于流水線結(jié)構(gòu) 其實(shí)需要做的是在每級之間增加一個(gè)暫存的數(shù)據(jù)用來存儲(chǔ) 我們得到的東西 我們一般來說會(huì)通過在每一級之間插入D觸發(fā)器來保證數(shù)據(jù)的聯(lián)通 通過在第一級和第二級,第二級和第

    2024年02月12日
    瀏覽(26)
  • 從零學(xué)verilog系列(4)組合邏輯電路設(shè)計(jì)方法

    從零學(xué)verilog系列(4)組合邏輯電路設(shè)計(jì)方法

    ? 目錄 1.組合電路設(shè)計(jì)方法 1.1真值表方式(本質(zhì)是最小項(xiàng)表達(dá)式) 1.2邏輯表達(dá)式方式 1.3結(jié)構(gòu)描述方式 1.4抽象描述方式(從電路功能出發(fā)) 2組合電路設(shè)計(jì)項(xiàng)目 2.1數(shù)字加法器 半加器(1位加法器) 全加器 串行進(jìn)位加法器(行波進(jìn)位加法器) 超前進(jìn)位加法器 2.2數(shù)據(jù)比較器 2.3數(shù)據(jù)選

    2024年02月04日
    瀏覽(19)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包