国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

FPGA開(kāi)發(fā)環(huán)境 Vivado

這篇具有很好參考價(jià)值的文章主要介紹了FPGA開(kāi)發(fā)環(huán)境 Vivado。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請(qǐng)大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問(wèn)。


Vivado是Xilinx系列FPGA開(kāi)發(fā)環(huán)境。本文記載收錄了vivado常用開(kāi)發(fā)技巧,隨機(jī)記錄、隨時(shí)更新。。。

任何Xilinx相關(guān)問(wèn)題都可到WELCOME TO XILINX SUPPORT!查詢

1. STA

靜態(tài)時(shí)序分析(Static Timing Analysis, STA):簡(jiǎn)介及內(nèi)容導(dǎo)航
VIVADO的綜合屬性ASYNC_REG

1.1. 同步器

在XDC中作如下約束,表示對(duì)名字末尾為_cdc_to的寄存器不進(jìn)行STA

set_false_path -through [get_pins -hier *cdc_to*]

同時(shí),對(duì)于跨時(shí)鐘域的同步寄存器,要作如下聲明。這樣這幾個(gè)同步寄存器就可以接收異步信號(hào),且會(huì)布局到同一個(gè)SLICE/CLB來(lái)提高M(jìn)ean Time Between Failure(MTBF)

(*ASYNC_REG = "TRUE"*)reg res_cdc_to;
(*ASYNC_REG = "TRUE"*)reg res_d1;

1.2. Report Timing Summary

詳細(xì)報(bào)告可以點(diǎn)擊Open Implemented Design下的Report Timing Summary,之后在右側(cè)TIming一欄中選擇具體的路徑顯示延遲

FPGA開(kāi)發(fā)環(huán)境 Vivado
上圖中,我們將From觸發(fā)器看作是UFF0,To觸發(fā)器看作是UFF1,則時(shí)序路徑可簡(jiǎn)化為如下圖

FPGA開(kāi)發(fā)環(huán)境 Vivado

名詞解釋如下

● Slack:建立時(shí)間裕量
● Levels:邏輯級(jí)數(shù),從UFF0/Q到UFF1/D之間組合邏輯器件的個(gè)數(shù)
● Fanout:表示從這一點(diǎn)連接到了幾個(gè)目的端點(diǎn),fanout = 1就表示連接了1個(gè)目的端點(diǎn)
● From To:發(fā)起觸發(fā)器與捕獲觸發(fā)器
● Total Delay:路徑UFF0/CK到UFF1/D的總延遲,即 T C K 2 Q U F F 0 + T U F F 0 / Q _ t o _ U F F 1 / D T_{CK2Q}^{UFF0}+T_{UFF0/Q\_to\_UFF1/D} TCK2QUFF0?+TUFF0/Q_to_UFF1/D?
● Logic Delay:路徑UFF0/CK到UFF1/D的邏輯元件延遲,包括觸發(fā)器延遲 T C K 2 Q U F F 0 T_{CK2Q}^{UFF0} TCK2QUFF0?
● Net Delay:路徑UFF0/CK到UFF1/D的走線延遲

由此可見(jiàn),Total Delay = Logic Delay + Net Delay

之后雙擊Path 5那一行,得到該時(shí)序路徑的時(shí)序報(bào)告,如下

FPGA開(kāi)發(fā)環(huán)境 Vivado
Summary一欄:
● slack:建立時(shí)間裕量,為正表示這條路徑是滿足建立時(shí)間要求
● source:源端觸發(fā)器,即時(shí)序分析的起點(diǎn),發(fā)射沿(Launch Edge)
● destination:目的觸發(fā)器,即時(shí)序分析的終點(diǎn),鎖存沿(Latch Edge)
● path group:時(shí)序分析的時(shí)鐘源
● path type:路徑類型,此路徑為建立時(shí)間的分析
● requirement:時(shí)序要求,設(shè)定為25MHz,所以就是40ns
● data path delay:路徑UFF0/CK到UFF1/D的總延遲,即 T C K 2 Q U F F 0 + T U F F 0 / Q _ t o _ U F F 1 / D T_{CK2Q}^{UFF0}+T_{UFF0/Q\_to\_UFF1/D} TCK2QUFF0?+TUFF0/Q_to_UFF1/D?
● logic levels:邏輯級(jí)數(shù),從UFF0/Q到UFF1/D之間組合邏輯器件的個(gè)數(shù)
● clock path skew:時(shí)鐘到達(dá)目的寄存器和源寄存器之間的時(shí)間差值
● clock uncertainty :時(shí)鐘的不確定度,包括skew和jitter

Source Clock Path一欄:
● Delay Type:路徑CLK到UFF0/CK產(chǎn)生時(shí)延的項(xiàng)目。從表中可見(jiàn)是IO-走線-IBUF-走線-BUFG-走線
● Incr(ns):每個(gè)項(xiàng)目的時(shí)延。例如IBUF時(shí)延是1.749ns、BUFG實(shí)驗(yàn)室0.120ns
● Path(ns):截止到目前項(xiàng)目,時(shí)延的累加和。例如IO-走線-IBUF-走線-BUFG的時(shí)延累計(jì)為3.709ns

Data Path一欄:

● Delay Type:路徑UFF0/CK到UFF1/D產(chǎn)生時(shí)延的項(xiàng)目。從表中可見(jiàn)是FDRE-走線
● Arrival Time:即路徑CK到UFF1/D的時(shí)延總和

以及下圖

FPGA開(kāi)發(fā)環(huán)境 Vivado

Destination Clock Path一欄:

● Delay Type:路徑CLK到UFF1/CK產(chǎn)生時(shí)延的項(xiàng)目,考慮了時(shí)鐘周期、時(shí)鐘不確定度和建立時(shí)間
● Required Time:即 T c l k + T C L K 2 U F F 1 / C K + T c l k _ p e r m i s s i m o n ? T c l k _ u n c e r t a i n ? T s e t u p U F F 1 T_{clk}+T_{CLK2UFF1/CK}+T_{clk\_permissimon}-T_{clk\_uncertain}-T_{setup}^{UFF1} Tclk?+TCLK2UFF1/CK?+Tclk_permissimon??Tclk_uncertain??TsetupUFF1?

并且滿足 Slack = Required Time - Arrival Time

《基于Xilinx的時(shí)序分析、約束和收斂》目錄與傳送門(mén)

2. 編譯

2.1. 一直卡在route_design問(wèn)題

綜合能通過(guò)說(shuō)明能生成網(wǎng)表,但布局布線一直不成功,可能是沒(méi)地方放了。

可查看工程名.runs/synth_1/runme.log信息,文中顯示number of nodes with overlaps 一直在刷新,且等號(hào)右邊的值一直不為零,為零才表示布局布線找到可行解。并且每次迭代iterationWNS一直為負(fù),導(dǎo)致卡在route design。

可能的原因如下:

  1. IP核設(shè)置不合理,例如multiplier用的是LUTs就會(huì)導(dǎo)致布線時(shí)間長(zhǎng),應(yīng)該為Mults。
  2. 設(shè)置了多個(gè)同名IP核,建議建立新的工程重新設(shè)置IP
  3. BUFR改為BUFG等clock buffer使用是否正確

可通過(guò)回溯更改內(nèi)容鎖定問(wèn)題位置。

Router is stuck at “Number of Nodes with overlaps…”
What is “Number of Nodes with overlaps” parameter in implementation?

3. 綜合

《Vivado Design Suite User Guide Synthesis - UG901》
Vivado使用技巧(24):HDL/XDC中設(shè)置綜合屬性

3.1. Report

在點(diǎn)擊Open Implemented Design之后,可以在TCL中直接輸入以下指令獲取部分報(bào)告

vivado之report

利用率報(bào)告 report_utilization

功耗報(bào)告 report_power

擁塞 report_design_analysis -congestion

時(shí)序違例路徑 report_design_analysis -max_paths 50 -setup

工程編譯完成之后,點(diǎn)擊Open Implemented Design,之后tcl中輸入以下內(nèi)容即可顯示最差的50條路徑

report_design_analysis -max_paths 50 -setup

3.2. IOB

為了保證FPGA輸入輸出接口的時(shí)序,一般會(huì)要求將輸入管腳首先打一拍再使用,輸出接口也要打一拍再輸出FPGA。這樣做的目的是為了讓這打一拍的寄存器約束到IO Buffer(IOB)上,從而使得每一次編譯輸入或者輸出的時(shí)序不會(huì)發(fā)生改變。

這是因?yàn)?,IOB是FPGA上距離IO最近的寄存器,并且位置固定。當(dāng)你輸入或者輸出采用了IOB約束,那么就可以保證從IBUF到達(dá)寄存器或者從寄存器到達(dá)OBUF之間的走線延遲最短,即輸入端走線為IO-IBUF-ILOGIC-...,輸出端走線為...-OLOGIC-OBUF-IO

最大限度保證時(shí)序滿足要求,同時(shí)由于IO的位置是固定的,所以每一次編譯都不會(huì)造成輸入或者輸出的時(shí)序發(fā)生改變。

哪些信號(hào)需要置于IOB呢?對(duì)于關(guān)鍵輸入輸出信號(hào),對(duì)時(shí)序有要求的信號(hào),都要布置到IOB上,其他電平信號(hào)則無(wú)需布置、時(shí)鐘信號(hào)無(wú)需布置、LVDS非時(shí)鐘信號(hào)只需布置p端。

FPGA基礎(chǔ)資源之IOB的應(yīng)用

配置

可在XDC中對(duì)接口信號(hào)作約束

set_property  IOB true [get_ports {port_name}]

或者是在verilog中作約束,如下所示

(*IOB = "TRUE"*)output res_o,
...
(*IOB = "TRUE"*) reg a;

同時(shí)在verilog中要保證:輸入信號(hào)以及輸出信號(hào)和其所直連的寄存器之間 不能存在任何時(shí)序邏輯、任何組合邏輯和走線分支。且那個(gè)直連的寄存器,就會(huì)被放入IOB中。

檢查

工程編譯完成后,打開(kāi)Open Implemented Design,再下方Tcl Console中輸入tclapp::install ultrafast后回車(chē)

之后再在Tcl Console中輸入xilinx::ultrafast::report_io_reg后回車(chē),則出現(xiàn)IO Ports Summary 表格

FPGA開(kāi)發(fā)環(huán)境 Vivado

其中

● Info為Unconnected的信號(hào),無(wú)需布置
● Info為No Input FF的信號(hào),其ILOGIC為0,表示該輸入信號(hào)未連接到IOB
● Info為No Output FF的信號(hào),其OLOGIC為0,表示該輸出信號(hào)未連接到IOB
● Info無(wú)信息的信號(hào),其ILOGIC為1或OLOGIC為1,表示該信號(hào)已連接到IOB。雙向信號(hào)要ILOGIC和OLOGIC均為1

3.3. 安全狀態(tài)機(jī)

狀態(tài)機(jī)中的default語(yǔ)句意思是異常狀態(tài)下?tīng)顟B(tài)機(jī)能跳轉(zhuǎn)到正常狀態(tài),但EDA工具會(huì)對(duì)default語(yǔ)句自動(dòng)忽視,這是對(duì)狀態(tài)機(jī)進(jìn)行優(yōu)化的結(jié)果。

而安全狀態(tài)機(jī)模式改變了狀態(tài)機(jī)編碼方式,在異常狀態(tài)下也能跳轉(zhuǎn)至正常狀態(tài),應(yīng)當(dāng)進(jìn)行配置。

vivado 如何設(shè)置安全狀態(tài)機(jī)模式

配置

推薦的寫(xiě)法是,在verilog中將 所有狀態(tài)機(jī)的狀態(tài)寄存器加入安全聲明,并且位寬與實(shí)際使用一致,即定義如下

(*fsm_safe_state = "reset_state"*) reg [2:0] cur_state;

檢查

編譯完畢后,打開(kāi)工程目錄下/*.runs/synth_1/runme.log

若顯示如下內(nèi)容,則表示安全狀態(tài)機(jī)配置成功

Implemented safe state 'reset_state' for state register 'cur_state_reg' in module '...'
encoded FSM with state register 'cur_state_reg' using encoding 'Hamming 2' in module '...'

若只顯示如下內(nèi)容,沒(méi)有顯示Implemented safe state...,則表示配置失敗

encoded FSM with state register 'cur_state_reg' using encoding 'one-hot' in module '...'

3.4. DONT_TOUCH

DONT_TOUCH 屬性可以用于保護(hù)信號(hào)或module不會(huì)在綜合、布局布線階段被優(yōu)化掉。與 KEEP 和 KEEP_HIERARCHY 屬性相比,DONT_TOUCH還能在布局布線時(shí)起作用,而且有更高的優(yōu)先級(jí)。可用于等效寄存器、等效組合邏輯保留。

示例代碼如下:

(*DONT_TOUCH = "TRUE"*) wire sig1;
assign sig1 = in1 & in2;
assign out1 = sig1 & in2;

VIVADO學(xué)習(xí)筆記之–DONT_TOUCH
Vivado防止信號(hào)被綜合掉的三種方法
Vivado綜合屬性系列之七 DONT TOUCH

3.5. MAX_FANOUT

可通過(guò)Open Implementation Design之后,在tcl中寫(xiě)入如下語(yǔ)句顯示幾個(gè)最大扇出的路徑

report_high_fanout_nets
report_high_fanout_nets -help

設(shè)置寄存器和組合邏輯信號(hào)的最大扇出限制(即最大驅(qū)動(dòng)元件數(shù)量)。超出該設(shè)置值時(shí),會(huì)復(fù)制一個(gè)完全相同的寄存器或組合邏輯。

(* MAX_FANOUT=50 *) reg sig1;  //Verilog示例

Vivado那些事兒—扇出分析與優(yōu)化

4. Device Primitive Instantiation

即Vivado源語(yǔ),可看作是參數(shù)化的IP,可直接設(shè)置參數(shù)例化IP,無(wú)需在IP Catalog中設(shè)置好了再例化。

下面介紹幾種常用的源語(yǔ)。

4.1. IO Components

Input/Output Differential Buffers (IBUFDS/OBUFDS)

對(duì)于FPGA設(shè)計(jì)者來(lái)說(shuō),需要將外部輸入的差分信號(hào)轉(zhuǎn)成單端信號(hào)、或?qū)味诵盘?hào)轉(zhuǎn)成差分信號(hào)輸出,需要用到IBUFDS和OBUFDS兩個(gè)源語(yǔ),例化如下

IBUFDS #(
      .DIFF_TERM	("TRUE"		),     // Differential Termination
      .IBUF_LOW_PWR	("TRUE"			),    // Low power="TRUE", Highest performance="FALSE" 
      .IOSTANDARD	("LVDS"		)     // Specify the input I/O standard
   ) IBUFDS_inst (
      .O			(data_clk_tmp	),  // Buffer output
      .I			(data_clk_p		),  // Diff_p buffer input (connect directly to top-level port)
      .IB			(data_clk_n		) 	// Diff_n buffer input (connect directly to top-level port)
   );
OBUFDS #(
      .IOSTANDARD	("LVDS"	), // Specify the output I/O standard
      .SLEW			("SLOW"		)           // Specify the output slew rate
   ) OBUFDS_inst (
      .O		(tx_frame_p	),     // Diff_p output (connect directly to top-level port)
      .OB		(tx_frame_n	),   // Diff_n output (connect directly to top-level port)
      .I		(tx_frame	)      // Buffer input 
   );

Output Double Data Rate(ODDR)

4.2. Clock Buffers

Xilinx FPGA輸入輸出緩沖 BUF 的使用

4.3. Xilinx Parameterized Macros(XPM)

XILINX-VIVADO IP參數(shù)化方法(XPM)
《Vivado Design Suite 7 SeriesFPGA and Zynq-7000 SoCLibraries Guide》 - ug953

可以參數(shù)化例化IP,例如FIFO、Dual port RAM等,可以從 vivado內(nèi)的Language Templates中尋找。

XPM IP自身的RTL設(shè)計(jì)文件路徑在xxx\Xilinx\Vivado\2019.1\data\ip\xpm

注意XPM中MEMORY_SIZE、FIFO_WRITE_DEPTH單位都是bit,必須為2的次冪

4.4. Unimacros

《Vivado Design Suite 7 SeriesFPGA and Zynq-7000 SoCLibraries Guide》 - ug953

也是一種參數(shù)化例化IP的方法,詳見(jiàn)文檔說(shuō)明

5. 工程目錄組織

可以直接參考RTL Project Directory,了解一個(gè)工程的文件夾目錄如何組織。

6. ECO (Engineering Change Order)

ECO指的是Engineering Change Order,即工程變更指令。目的是為了在設(shè)計(jì)的后期,快速靈活地做小范圍修改,從而盡可能的保持已經(jīng)驗(yàn)證的功能和時(shí)序。ECO的叫法算是從IC設(shè)計(jì)領(lǐng)域繼承而來(lái),其實(shí)在以往的FPGA設(shè)計(jì)上已被廣泛采用。簡(jiǎn)單來(lái)說(shuō),ECO便相當(dāng)于ISE上的FPGA Editor。

工程編譯完畢后,在/xxx.runs/impl_1/目錄下有*_opt.dcp, *_place.dcp, *_route.dcp三個(gè)文件,分別對(duì)應(yīng)opt_design、placed_design和routed_design之后的文件,可根據(jù)對(duì)網(wǎng)表修改程度的大小,選擇某一階段的DCP文件進(jìn)行ECO。

ECO可實(shí)現(xiàn)修改ILA、增加/刪除/修改內(nèi)部CELL、RAM/ROM/FF初值等功能。

Vivado-ECO修改網(wǎng)表進(jìn)行加速debug
Vivado ECO實(shí)例教程一 增加LUT(GUI操作)
“揭秘” Xilinx FPGA 的 ECO 功能
《Vivado Design Suite User Guide Implementation》 - ug904 - Chapter 3 - Vivado ECO Flow文章來(lái)源地址http://www.zghlxwxcb.cn/news/detail-506265.html

到了這里,關(guān)于FPGA開(kāi)發(fā)環(huán)境 Vivado的文章就介紹完了。如果您還想了解更多內(nèi)容,請(qǐng)?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來(lái)自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場(chǎng)。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請(qǐng)注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請(qǐng)點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • Xilinx?Alveo系列FPGA加速卡軟件開(kāi)發(fā)入門(mén)

    Xilinx?Alveo系列FPGA加速卡軟件開(kāi)發(fā)入門(mén)

    隨著異構(gòu)計(jì)算越來(lái)越火,F(xiàn)PGA加速卡在諸多領(lǐng)域的應(yīng)用也越來(lái)越多。 FPGA加速卡與GPU加速卡加速原理完全不同。 GPU本質(zhì)上是依靠海量的并行運(yùn)算單元,提升整體的吞吐量,來(lái)吃盡內(nèi)存帶寬。 FPGA是通用的門(mén)陣列,按照數(shù)據(jù)結(jié)構(gòu)和運(yùn)算特點(diǎn)搭建專用運(yùn)算單元,能夠以更低功耗和時(shí)

    2024年02月05日
    瀏覽(89)
  • FPGA開(kāi)發(fā)環(huán)境安裝VIVADO

    FPGA開(kāi)發(fā)環(huán)境安裝VIVADO

    ?BASYS3開(kāi)發(fā)板使用的是 Xilinx 廠商的 Artix-7 FPGA 芯片,所以要使用 Xilinx 提供的配套開(kāi)發(fā)軟件 Vivado ,我使用的是 Vivado 18.3 。Vivado 18.3 的版本相對(duì)來(lái)說(shuō)是較為穩(wěn)定的,所以推薦給大家這個(gè)版本當(dāng)然 讀者也可安裝更新的版本,安裝步驟都是大同小異的。 第一步: 找到 Vivado 2018.

    2024年02月09日
    瀏覽(34)
  • FPGA時(shí)鐘資源與設(shè)計(jì)方法——Xilinx(Vivado)

    1.時(shí)鐘資源包括:時(shí)鐘布線、時(shí)鐘緩沖器(BUFGBUFRBUFIO)、時(shí)鐘管理器(MMCM/PLL)。 2.時(shí)鐘類型有三種:全局時(shí)鐘,可以驅(qū)動(dòng)整個(gè)內(nèi)核上的同步邏輯;局部時(shí)鐘,可以驅(qū)動(dòng)特定和相鄰區(qū)域的邏輯;IO時(shí)鐘,可以驅(qū)動(dòng)某個(gè)IO的特定邏輯。 3.混合模式時(shí)鐘管理器(MMCM)和數(shù)字時(shí)鐘管理

    2024年02月22日
    瀏覽(24)
  • 【FPGA】Xilinx vivado生成.dcp文件的方法

    DCP文件是vivado軟件生成的網(wǎng)表文件,主要起到加密的作用,在不需要提供源代碼的情況下運(yùn)行工程。 首先,需要新建工程,工程頂層文件就是生成后dcp文件的名稱,然后在vivado-Tool-setting-project-setting-synthesis路徑下,在More options中輸入-mode out_of_context(綜合時(shí)不產(chǎn)生IO buffer),

    2024年04月12日
    瀏覽(21)
  • 【FPGA】 xilinx vivado中AXI4通信協(xié)議詳解

    【FPGA】 xilinx vivado中AXI4通信協(xié)議詳解

    AXI是ARM 1996年提出的微控制器總線家族AMBA中的一部分。AXI的第一個(gè)版本出現(xiàn)在AMBA3.0,發(fā)布于2003年。當(dāng)前的最新的版本發(fā)布于2010年。AXI 4總線和別的總線一樣,都用來(lái)傳輸bits信息 (包含了數(shù)據(jù)或者地址) 。AXI4總線有三種類型,分別是AXI4、AXI4-Lite、AXI4-Stream AXI4:主要面向高性能

    2024年04月28日
    瀏覽(26)
  • 輕松搭建FPGA開(kāi)發(fā)環(huán)境:第三課——Vivado 庫(kù)編譯與設(shè)置說(shuō)明

    輕松搭建FPGA開(kāi)發(fā)環(huán)境:第三課——Vivado 庫(kù)編譯與設(shè)置說(shuō)明

    工欲善其事必先利其器,很多人想從事 FPGA 的開(kāi)發(fā),但是不知道如何下手。既要裝這個(gè)軟件,又要裝那個(gè)軟件,還要編譯仿真庫(kù),網(wǎng)上的教程一大堆,不知道到底應(yīng)該聽(tīng)誰(shuí)的。所以很多人還沒(méi)開(kāi)始就被繁瑣的開(kāi)發(fā)環(huán)境搭建嚇退了,還沒(méi)開(kāi)始就放棄了! 筆者用幾節(jié)課的時(shí)間,從

    2024年02月04日
    瀏覽(28)
  • xilinx FPGA 除法器ip核(divider)的學(xué)習(xí)和仿真(Vivado)

    xilinx FPGA 除法器ip核(divider)的學(xué)習(xí)和仿真(Vivado)

    在設(shè)計(jì)中,經(jīng)常出現(xiàn)除法運(yùn)算, 實(shí)現(xiàn)方法 : 1、移位操作 2、取模取余 3、調(diào)用除法器IP核 4、查找表 簡(jiǎn)單學(xué)習(xí)除法器IP。 網(wǎng)上很多IP翻譯文檔,不詳細(xì)介紹,記錄幾個(gè)重要的點(diǎn): 1、三種算法模式(不同模式所消耗的資源類型不同) 2、分清除數(shù)和被除數(shù);余數(shù)模式的選擇 3、延

    2024年04月28日
    瀏覽(291)
  • xilinx FPGA 乘法器ip核(multipler)的使用(VHDL&Vivado)

    xilinx FPGA 乘法器ip核(multipler)的使用(VHDL&Vivado)

    一、創(chuàng)建除法ip核 ?可以選擇兩個(gè)變量數(shù)相乘,也可以選擇一個(gè)變量輸入數(shù)據(jù)和一個(gè)常數(shù)相乘 可以選擇mult(dsp資源)或者lut(fpga資源) 可以選擇速度優(yōu)先或者面積優(yōu)先 可以自己選擇輸出位寬 還有時(shí)鐘使能和復(fù)位功能 ?二、編寫(xiě)VHDL程序:聲明和例化乘法器ip核 三、編寫(xiě)仿真程

    2024年02月11日
    瀏覽(30)
  • FPGA開(kāi)發(fā)之Vivado安裝及HLS環(huán)境配置,并實(shí)現(xiàn)流水燈實(shí)例

    FPGA開(kāi)發(fā)之Vivado安裝及HLS環(huán)境配置,并實(shí)現(xiàn)流水燈實(shí)例

    HLS(High-Level Synthesis)高層綜合,就是將 C/C++的功能用 RTL 來(lái)實(shí)現(xiàn),將 FPGA 的組件在一個(gè)軟件環(huán)境中來(lái)開(kāi)發(fā),這個(gè)模塊的功能驗(yàn)證在軟件環(huán)境中來(lái)實(shí)現(xiàn),無(wú)縫的將硬件仿真環(huán)境集合在一起,使用軟件為中心的工具、報(bào)告以及優(yōu)化設(shè)計(jì),很容易的在 FPGA 傳統(tǒng)的設(shè)計(jì)工具中生成 IP。

    2024年02月05日
    瀏覽(28)
  • Xilinx 7系列 FPGA硬件知識(shí)系列(八)——Xilinx FPGA的復(fù)位

    Xilinx 7系列 FPGA硬件知識(shí)系列(八)——Xilinx FPGA的復(fù)位

    目錄 ?概要? Xilinx復(fù)位準(zhǔn)則 全局復(fù)位主要由以下三種方式實(shí)現(xiàn) 高時(shí)鐘頻率下的復(fù)位時(shí)序全局復(fù)位對(duì)時(shí)序的要求真的很關(guān)鍵嗎? 獨(dú)熱碼狀態(tài)機(jī)的復(fù)位??????? FPGA配置 Xilinx白皮書(shū)WP272《Get Smart About Reset: Think Local, Not Global》詳細(xì)講述了FPGA的全局復(fù)位。在數(shù)字系統(tǒng)設(shè)計(jì)中,我們

    2024年03月11日
    瀏覽(127)

覺(jué)得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請(qǐng)作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包