国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

FPGA實現(xiàn)10M多功能信號發(fā)生器

這篇具有很好參考價值的文章主要介紹了FPGA實現(xiàn)10M多功能信號發(fā)生器。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

廢話

總體方案論證與選擇

方案一:采用模擬鎖相環(huán)實現(xiàn)。模擬鎖相環(huán)技術(shù)是一項比較成熟的技術(shù)。應(yīng)用模擬鎖相環(huán),可將基準(zhǔn)頻率倍頻,或分頻得到所需的頻率,且調(diào)節(jié)精度可以做到相當(dāng)高、穩(wěn)定性也比較好。但模擬鎖相環(huán)模擬電路復(fù)雜,不易調(diào)節(jié),成本較高,并且頻率調(diào)節(jié)不便且調(diào)節(jié)范圍小,輸出波形的毛刺較多,得不到滿意的效果。
方案二:采用直接數(shù)字頻率合成,用單片機作為核心控制部件。能達(dá)到較高的要求,實現(xiàn)各種波形輸出,但受限于運算位數(shù)和運算速度,產(chǎn)生的波形往往達(dá)不到滿意效果,并且頻率可調(diào)范圍小,很難得到較高頻率,并且單片機的引腳少,存儲容量少,這就導(dǎo)致了外圍電路復(fù)雜。
方案三:采用直接數(shù)字頻率合成,用FPGA器件作為核心控制部件。精度高穩(wěn)定性好,得到波形平滑,特別是由于FPGA的高速度,能實現(xiàn)較高頻率的波形??刂粕细奖?,可得到較寬頻率范圍的波形輸出,步進(jìn)小,外圍電路簡單易實現(xiàn)。
經(jīng)過上述分析,核心控制系統(tǒng)采用方案三。

DDS模塊方案論證

方案一:采用高性能DDS單片電路的解決方案。
隨著微電子技術(shù)的飛速發(fā)展,目前高超性能優(yōu)良的DDS產(chǎn)品不斷推出,主要有Qualcomm、AD、Sciteg和Stanford等公司單片電路(monolithic)。Qualcomm公司推出了DDS系列Q2220、Q2230、Q2334、Q2240、Q2368,其中Q2368的時鐘頻率為130MHz,分辨率為0.03Hz,變頻時間為0.1μs;美國AD公司也相繼推出了他們的DDS系列:AD9850、AD9851、可以實現(xiàn)線性調(diào)頻的AD9852、兩路正交輸出的AD9854以及以DDS為核心的QPSK調(diào)制器AD9853、數(shù)字上變頻器AD9856和AD9857。AD公司的DDS系列產(chǎn)品以其較高的性能價格比,目前取得了極為廣泛的應(yīng)用。
方案二:采用低頻正弦波DDS單片電路的解決方案
此方案的典型電路有MicroLinear公司的電源管理事業(yè)部推出低頻正弦波DDS單片電路ML2035以其價格低廉、使用簡單得到廣泛應(yīng)用。ML2035特性:(1)輸出頻率為直流到25kHz,在時鐘輸入為12.352MHz以外頻率分辨率可達(dá)到1.5Hz(-0.75~+0.75Hz),輸出正弦波信號的峰-峰值為Vcc;(2)高度集成化,無需或僅需極少的外接元件支持,自帶3~12MHz晶體振蕩電路;(3)兼容的3線SPI串行輸入口,帶雙緩沖,能方便地配合單片機使用;(4)增益誤差和總諧波失真很低。ML2035生成的頻率較低(0~25kHz),一般應(yīng)用于一些需產(chǎn)生的頻率為工頻和音頻的場合。如用2片ML2035產(chǎn)生多頻互控信號,并與AMS3104(多頻接收芯片)或ML2031/2032(音頻檢波器)配合,制作通信系統(tǒng)中的收發(fā)電路等??删幊陶也òl(fā)生器芯片ML2035設(shè)計巧妙,具有可編程、使用方便、價格低廉等優(yōu)點,應(yīng)用范圍廣泛。很適合需要低成本、高可靠性的低頻正弦波信號的場合。
方案三:自行設(shè)計的基于CPLD/FPGA芯片的解決方案
DDS技術(shù)的實現(xiàn)依賴于高速、高性能的數(shù)字器件。可編程邏輯器件以其速度高、規(guī)模大、在線可編程,以及有強大EDA軟件支持等特性,十分適合實現(xiàn)DDS技術(shù)。目前PLD器件(包括CPLD、FPGA)的生產(chǎn)廠商主要有Altera,Xilinx以及Lattoce等。Altera是著名的PLD生產(chǎn)廠商,多年來一直占據(jù)著行業(yè)領(lǐng)先的地位。Altera的PLD具有高性能、高集成度和高性價比的優(yōu)點,此外它還提供了功能全面的開發(fā)工具和豐富的IP核、宏功能外它還提供了功能全面的開發(fā)工具和豐富的IP核、宏功能庫等,因此Altera的產(chǎn)品獲得了廣泛的應(yīng)用。雖然有的專用DDS芯片的功能也比較多,但控制方式卻是固定的,因此不一定是我們所需要的。而利用FPGA則可以根據(jù)需要方便地實現(xiàn)各種比較復(fù)雜的調(diào)頻、調(diào)相和調(diào)幅功能,具有良好的實用性。就合成信號質(zhì)量而言,專用DDS芯片由于采用特定的集成工藝,內(nèi)部數(shù)字信號抖動很小,可以輸出高質(zhì)量的模擬信號;利用FPGA也能輸出較高質(zhì)量的信號,雖然達(dá)不到專用DDS芯片的水平,但信號精度誤差在允許范圍之內(nèi)。
基于以上優(yōu)點我們采用了FPGA芯片來實現(xiàn)我們設(shè)計的DDS。
FPGA實現(xiàn)10M多功能信號發(fā)生器

總體設(shè)計方框圖

FPGA實現(xiàn)10M多功能信號發(fā)生器

直接數(shù)字頻率合成技術(shù)的基本原理

FPGA實現(xiàn)10M多功能信號發(fā)生器

Verilog HDL代碼實現(xiàn)與仿真

信號發(fā)生器模塊

頻率控制字和相位累加器

位累加器的位數(shù)N=32,Verilog HDL代碼如下:

1.module F_word_set(
2. input    clk  ,
3. input    rst_n ,
4. input    key1_in ,
5.
6. output reg [25:0] f_word 
7. );
8. 
9. wire  key_flag ;
10. wire  key_state ;
11. reg [3:0] cnt   ;
12.
13. key_filter fword_key (
14.   .clk       (clk),
15.   .rst_n     (rst_n),
16.   .key_in    (key1_in),
17.   .key_flag  (key_flag),
18.   .key_state (key_state)
19.  );
20.
21. always @(posedge clk or negedge rst_n) begin
22.  if (!rst_n) begin
23.   cnt <= 4'd0;
24.  end
25.  else if (key_flag) begin
26.   if (cnt==4'd10) begin
27.    cnt <= 4'd0;
28.   end
29.   else begin
30.    cnt <= cnt + 1'b1;
31.   end
32.  end
33. end
34.
35. always @(posedge clk or negedge rst_n) begin
36.  if (!rst_n) begin
37.   f_word <= 0;
38.  end
39.  else begin
40.   case(cnt)
41.    4'd0:f_word = 26'd86;  //1Hz
42.    4'd1:f_word = 26'd859;  //10Hz
43.    4'd2:f_word = 26'd8590;  //100Hz
44.    4'd3:f_word = 26'd42950; //500Hz
45.    4'd4:f_word = 26'd85899; //1kHz
46.    4'd5:f_word = 26'd429497; //5kHz
47.    4'd6:f_word = 26'd858993; //10kHz
48.    4'd7:f_word = 26'd4294967; //50kHz
49.    4'd8:f_word = 26'd8589935; //100kHz
50.    4'd9:f_word = 26'd17179869; //200kHz
51.    4'd10:f_word = 26'd42949673;//500kHz
52.    default:;
53.   endcase
54.  end
55. end
56.endmodule

FPGA實現(xiàn)10M多功能信號發(fā)生器
FPGA實現(xiàn)10M多功能信號發(fā)生器
FPGA實現(xiàn)10M多功能信號發(fā)生器
FPGA實現(xiàn)10M多功能信號發(fā)生器
FPGA實現(xiàn)10M多功能信號發(fā)生器
FPGA實現(xiàn)10M多功能信號發(fā)生器
FPGA實現(xiàn)10M多功能信號發(fā)生器文章來源地址http://www.zghlxwxcb.cn/news/detail-407989.html

到了這里,關(guān)于FPGA實現(xiàn)10M多功能信號發(fā)生器的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實不符,請點擊違法舉報進(jìn)行投訴反饋,一經(jīng)查實,立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費用

相關(guān)文章

  • 【基于FPGA的芯片設(shè)計】多功能ALU

    【基于FPGA的芯片設(shè)計】多功能ALU

    目錄 一、實驗要求 二、源代碼 1. 頂層模塊 2. 數(shù)據(jù)輸入模塊 3. ALU運算模塊 4. 結(jié)果處理模塊 5. 掃描數(shù)碼管模塊 5.1 掃描數(shù)碼管頂層 5.2 分頻器 5.3 數(shù)碼管顯示 三、仿真代碼 四、結(jié)構(gòu)層圖 五、管腳配置 實驗板卡:xc7a100tlc sg324-2L,共20個開關(guān) 通過高低位控制,實現(xiàn)32位數(shù)據(jù)A、

    2024年02月05日
    瀏覽(27)
  • 基于FPGA的多功能數(shù)字時鐘設(shè)計報告

    基于FPGA的多功能數(shù)字時鐘設(shè)計報告

    作品基于intel Cyclone IV E EP4CE10F17C8 FPGA板卡,主要開發(fā)環(huán)境為Quartus Ⅱ,編程并實現(xiàn)了多功能溫濕度電子鐘。本作品在實現(xiàn)顯示實時時間的基礎(chǔ)上,設(shè)計并完成了設(shè)置鬧鐘、改變鬧鐘鈴聲、顯示實時溫度和實時濕度、基于以太網(wǎng)通信協(xié)議的實時視頻傳輸?shù)裙δ?。在未設(shè)置鬧鐘時

    2024年02月05日
    瀏覽(26)
  • 基于FPGA的多功能數(shù)字鐘的設(shè)計

    摘要 數(shù)字鐘是采用數(shù)字電路實現(xiàn)對時、分、秒數(shù)字顯示的計時裝置,是人們?nèi)粘?生活中不可少的必需品。本文介紹了應(yīng)用FPGA芯片設(shè)計多功能數(shù)字鐘的?種方 案,并討討論了有關(guān)使用FPGA芯片和VHDL語言實現(xiàn)數(shù)字鐘設(shè)計的技術(shù)問題。 數(shù)字鐘、分頻器、譯碼器、計數(shù)器、校

    2024年02月07日
    瀏覽(33)
  • 數(shù)字系統(tǒng)設(shè)計(FPGA)課程設(shè)計: 多功能數(shù)字鐘

    數(shù)字系統(tǒng)設(shè)計(FPGA)課程設(shè)計: 多功能數(shù)字鐘

    一、目的: 實現(xiàn)多功能數(shù)字鐘,具備下列功能: 1、數(shù)字鐘:能計時,實現(xiàn)小時、分鐘、秒的顯示; 2、數(shù)字跑表:精度至0.01秒 比如顯示12.97秒; 3、鬧鐘: 可以設(shè)定鬧鐘,用試驗箱上的蜂鳴器作為鬧鈴; 4、調(diào)時:可以對時間進(jìn)行設(shè)定; 5、日期設(shè)定:能設(shè)定日期并顯示當(dāng)前

    2023年04月18日
    瀏覽(27)
  • 0—基于FPGA(ZYNQ-Z2)的多功能小車

    0—基于FPGA(ZYNQ-Z2)的多功能小車

    ? ?在電子技術(shù)與創(chuàng)新的課設(shè)中我選擇了這個題目,在設(shè)計的時候遇到了非常多的問題,但是網(wǎng)上的對應(yīng)資料又非常少,因此在完成設(shè)計之后,我把設(shè)計方法與代碼在這篇博客中分享出來,希望能對需要的人有所幫助。 目錄 1.題目 2. 題目分析: 3. 器件使用 4. 工程文件? 5. 傳

    2024年02月07日
    瀏覽(24)
  • 基于高云FPGA開發(fā)板的多功能數(shù)字時鐘

    基于高云FPGA開發(fā)板的多功能數(shù)字時鐘

    目錄 一、數(shù)字時鐘作品的功能 二、數(shù)字時鐘作品的主體設(shè)計原理和功能說明 三、數(shù)字時鐘的各設(shè)計模塊說明及模塊程序代碼 1) 時鐘分頻模塊time_div、freq_div 2)按鍵消抖模塊key_db 3)控制模塊control 4)時間正常計數(shù)模塊time_count 5)時間設(shè)置模塊time_set 6)時間動態(tài)掃描位選模塊time_d

    2024年01月22日
    瀏覽(28)
  • EDA實驗(Quartus Ⅱ+fpga) (五)---多功能數(shù)字鐘設(shè)計

    本實驗代碼為初學(xué)FPGA所寫,邏輯不太清晰,請?zhí)旅婢W(wǎng)址查看最新的模塊化設(shè)計數(shù)字鐘,更易看懂 模塊化多功能數(shù)字鐘設(shè)計 前言: 本文主要介紹了EDA原理與應(yīng)用這門課程的相關(guān)實驗及代碼。使用的軟件是Quartus Ⅱ,該實驗使用fpga芯片為cycloneⅤ 5CSEMA5F31C6。 (1)了解數(shù)字鐘的

    2024年02月06日
    瀏覽(21)
  • 5—基于FPGA(ZYNQ-Z2)的多功能小車—軟件設(shè)計—藍(lán)牙串口

    5—基于FPGA(ZYNQ-Z2)的多功能小車—軟件設(shè)計—藍(lán)牙串口

    目錄 1.藍(lán)牙模塊介紹 2.UART介紹 3.Verilog代碼: 3.1Uart_RX模塊: 3.2 分頻模塊: 3.3 Uart驅(qū)動模塊 3.4 Uart控制模塊 4. 總覽 ? 我使用的是JDY-31藍(lán)牙模塊,在連線中,要注意RX-TX,TX-RX。 ? 即FPGA約束的TX對應(yīng)藍(lán)牙模塊的RX,約束的RX對應(yīng)藍(lán)牙模塊的TX。 藍(lán)牙模塊使用UART串口通信協(xié)議,具體

    2024年02月05日
    瀏覽(32)
  • FPGA-DE2-115-實驗二-模塊化多功能數(shù)字鐘

    FPGA-DE2-115-實驗二-模塊化多功能數(shù)字鐘

    前言: 本文主要介紹了集成電路EDA這門課程的相關(guān)實驗及代碼。使用的軟件是Quartus Ⅱ,該實驗使用fpga芯片為cyclone IV EP4CE115F29C7。 本次實驗我們需要實現(xiàn)生活中常見的電子手表的所有功能。 我們知道: 電子手表有五個功能,包括:時間顯示功能,夜光模式功能,計時功能,鬧鐘功能

    2024年02月04日
    瀏覽(66)
  • FPGA實現(xiàn)的多波形信號發(fā)生器,支持正弦、方波、鋸齒波、三角波及調(diào)制,配備仿真和實物制作功能,使用Verilog HDL編寫

    FPGA實現(xiàn)的多波形信號發(fā)生器,支持正弦、方波、鋸齒波、三角波及調(diào)制,配備仿真和實物制作功能,使用Verilog HDL編寫

    基于FPGA的DDS多波形信號發(fā)生器,可以產(chǎn)生正弦波,方波,鋸齒波三角波,調(diào)制波形2psk.2askAM調(diào)制,可以仿真,可以制作實物,可以進(jìn)行講解! 使用可以使用Quarter9.0自帶仿真軟件進(jìn)行仿真波形。 也可以使用quarter13.1與modesim進(jìn)行聯(lián)合仿真進(jìn)行仿真波形! 使用verilog HDL語言進(jìn)行編

    2024年04月12日
    瀏覽(24)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包