国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

軟件使用錯誤(警告)記錄(持續(xù)更新)

這篇具有很好參考價值的文章主要介紹了軟件使用錯誤(警告)記錄(持續(xù)更新)。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。


?本博客用以記錄在軟件使用過程中所遇到的錯誤和關鍵性的警告,以及這些警告和錯誤的解決方法,方便日后查看以及能為其他遇到同樣問題的人提供一個可能的解決方法。需要注意的是,此處記錄的方法是根據(jù)本人遇到的問題記錄的,所以在解決自己遇到的問題的時候需根據(jù)實際情況修改, 解決方式一不一定正確。本文會持續(xù)更新,歡迎遇到同樣問題的朋友一起交流或是提供解決辦法。
? 注: 時間長了可能內容有點多,可以在此界面使用快捷鍵 Ctrl+F搜索關鍵詞。

一、Vivado

1 [IP_Flow 19-3153] Bus Interface ‘clk’: ASSOCIATED_BUSIF bus parameter is missing.
錯誤原因:自定義IP核的時候,clk這個信號沒有 ASSOCIATED_BUSIF 這個屬性
解決辦法:添加對應屬性
參考:鏈接
軟件使用錯誤(警告)記錄(持續(xù)更新)

2.[IP_Flow 19-3157] Bus Interface ‘rst_n’: Bus parameter POLARITY is ACTIVE_LOW but port ‘rst_n’ is not *resetn - please double check the POLARITY setting.
錯誤(警告)原因:信號 rst_n 設置為低有效,但是沒有連接到 aresetn 。這是由于IP核打包器在設計中推斷出了時鐘端口或是復位端口,端口名字應該為resetn/aresetn類似,但我的命名為rst_n
解決辦法:,在.v文件里(源文件)修改端口名字為aresetn即可。

3.mig IP核出現(xiàn) device_temp_i[11:0]
錯誤(警告)原因:嚴格來說不算錯誤,mig IP核在使用的使用沒有使能XDC
解決辦法:使能XDC就好了
參考:Xilinx官網(wǎng)

4.[BD 41-1356] Slave segment </mig_7series_0/memmap/memaddr> is not assigned into address space </sirv_gnrl_icb2axi_0/o_axi>. Please use Address Editor to either assign or exclude it.
錯誤(警告)原因:在使用AXI接口的時候分配
解決辦法:在Address Editor給使用到的AXI接口分配地址空間
軟件使用錯誤(警告)記錄(持續(xù)更新)

5.[Runs 36-527] DCP does not exist: c:/Users/NightVoyager/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-18748-DESKTOP-GU4F0FG/coregen/design_1_mig_7series_0_2/design_1_mig_7series_0_2.dcp

一加這個信號就報錯
愚蠢的錯誤,probe2沒有連接。。。。
軟件使用錯誤(警告)記錄(持續(xù)更新)

6.[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule. < set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets TestV1_i/e203_0/inst/IOBUF_jtag_TCK/O] >
TestV1_i/e203_0/inst/IOBUF_jtag_TCK/IBUF (IBUF.O) is locked to IOB_X0Y240
and TestV1_i/e203_0/inst/dut_io_pads_jtag_TCK_i_ival_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y31

解決方法:按照提示在約束文件里面添加相應約束即可。
軟件使用錯誤(警告)記錄(持續(xù)更新)

7.[Vivado 12-4739] create_clock:No valid object(s) found for ‘-objects [get_ports CLK50MHZ]’. [“C:/Users/NightVoyager/Desktop/e203ex/fpga/ddr200t/obj/ip/BDTESTV1/TestV1/TestV1.xdc”:9]
警告描述:提示沒有找到 CLK50MHZ 這個管腳。但是在頂層文件里面確實聲明了這個管腳,名字一樣(頂層文件輸入到TESTV1,TESTV1是用BD生成的,這個時鐘用于輸入到MMCP產生兩路低速時鐘)
解決辦法:嘗試將net同時修改為不同的名字
結果:成功解決

(奇葩的BUG)
將原來的
input CLK50MHz; //(頂層文件)

set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports CLK50MHz]
create_clock -period 20.000 -name sys_clk_pin -waveform {0.000 10.000} -add [get_ports CLK50MHz] //(約束文件)
改為
input clk_50MHz; //(頂層文件)

set_property -dict {PACKAGE_PIN G22 IOSTANDARD LVCMOS33} [get_ports clk_50MHz]
create_clock -period 20.000 -name sys_clk_pin -waveform {0.000 10.000} -add [get_ports clk_50MHz]

8.Cannot debug net ‘DDR3_addr[0]’; it is not accessible from the fabric routing.
錯誤(警告)描述:在給DDR輸出管腳設置成(mark debug)(使用ILA抓取DDR的輸出管腳的波形)的時候報錯,提示不可以設置成debug管腳。
解決辦法:暫未找到

二、ModelSim

1 Iteration limit reached at time 660 ns
問題描述:在660ns的時候,超出了迭代限制(5000次),問題出在在做浮點加法器的仿真的時候,考慮不周全,當出現(xiàn)0+0的情況是,狀態(tài)一直鎖定在MOVE
解決辦法:檢查修改代碼,若是代碼沒問題可以增加迭代次數(shù)在做嘗試。
出問題的代碼:
軟件使用錯誤(警告)記錄(持續(xù)更新)
修改 Interation Limit的值。
軟件使用錯誤(警告)記錄(持續(xù)更新)

三、WSL

1.WSL2啟動時提示:參考的對象類型不支持嘗試的操作
問題描述:在安裝WSL然后其自動安裝Ubuntu的時候,在創(chuàng)建用戶要求輸入用戶名時報錯 參考的對象類型不支持的操作
問題原因:某些加速器,VPN的socket端口與WSL的端口有沖突導致
解決方法:參考博客參考的對象類型不支持嘗試的操作文章來源地址http://www.zghlxwxcb.cn/news/detail-404272.html

到了這里,關于軟件使用錯誤(警告)記錄(持續(xù)更新)的文章就介紹完了。如果您還想了解更多內容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務,不擁有所有權,不承擔相關法律責任。如若轉載,請注明出處: 如若內容造成侵權/違法違規(guī)/事實不符,請點擊違法舉報進行投訴反饋,一經(jīng)查實,立即刪除!

領支付寶紅包贊助服務器費用

相關文章

  • Altium Designer(AD)軟件記錄01-快捷鍵的使用

    1、執(zhí)行“Cstomize”命令,則會彈出所有快捷鍵設置對話框; 2、按住Ctrl,鼠標左鍵點擊需要設置快捷鍵的命令,即可彈出對話框,進行設置。 F1 幫助 F2 走線(自己定義的快捷鍵) F3 查找文本(自己定義的快捷鍵) F4 設置柵格大?。ㄗ约憾x的快捷鍵) F5 線選(自己定義的

    2024年02月16日
    瀏覽(25)
  • Altium Designer(AD)軟件使用記錄04-AD設計文件輸出匯總

    Altium Designer(AD)軟件使用記錄04-AD設計文件輸出匯總

    方法一,分別給每個層放置一個字符串,寫上層的名字即可,這樣也行,就是麻煩點。 方法二,利用自帶的代碼功能,快速實現(xiàn)給層標注名稱。 放置一個字符串,使用.Layer_Name, 利用特殊粘貼EA到每一層即可 放置---------》鉆孔表 放在空白區(qū)域即可 原點一般設置在左下角,

    2024年02月06日
    瀏覽(32)
  • 記錄工作項目中使用的插件(持續(xù)更新中)

    1.HighLightingSystem 用于3D物體高亮顯示 在項目中的使用:導入插件后在需要高亮顯示的3d物體上附加Highlighter組件,在需要顯示高亮效果的攝像機上附加Highlighting Renderer組件。在代碼中調整Highlighter屬性即可控制物體高亮效果的開關、閃爍。 使用場景:提示玩家點擊,或鼠標進入

    2024年02月05日
    瀏覽(26)
  • Altium Designer(AD)軟件使用記錄09-PCB布線部分之層疊設置、 規(guī)則設置

    Altium Designer(AD)軟件使用記錄09-PCB布線部分之層疊設置、 規(guī)則設置

    鏈接: Altium Designer(AD)軟件使用記錄05-PCB疊層設計 鏈接: 如何快速判斷PCB設計層數(shù) 規(guī)則設置(快捷鍵DR) 1、間距規(guī)則 自己根據(jù)生產工藝和PCB板子的情況設置各種間距: 2、短路規(guī)則 3、開路規(guī)則 1、走線寬度規(guī)則 2、過孔規(guī)則 常用的過孔: 8mil/16mil(0.2mm/0.4mm) 10mil/20mil(0.25m

    2024年02月08日
    瀏覽(33)
  • 記錄在蘋果mac os系統(tǒng)上使用51單片機仿真軟件Proteus

    記錄在蘋果mac os系統(tǒng)上使用51單片機仿真軟件Proteus

    1.安裝Wineskin shell 指令 2.安裝Wrapper 點擊update???????? 首先我們需要安裝一個程序: 可以將在Windows系統(tǒng)上才能運行exe文件打包為mac系統(tǒng)可執(zhí)行的文件。 下載后,可以在啟動臺或者下載目錄看到 Wineskin Winery 的圖標,點擊啟動該軟件。? No Wrapper Installed ? 暫時無法解決

    2024年02月06日
    瀏覽(32)
  • ROS仿真軟件Turtlebot-Gazebo的安裝使用以及錯誤處理[機器人避障]

    ROS仿真軟件Turtlebot-Gazebo的安裝使用以及錯誤處理[機器人避障]

    ????????很多時候由于機器人價格比較貴,而且會因為環(huán)境因素、操作失誤或者摔壞等,所以我們可以先在仿真軟件上做測試,也可以避免這些問題,雖然沒有那么真實感,可畢竟是免費的嘛。我們可以在這些仿真的機器人身上去學習如何控制機器人,讀取它們的傳感器數(shù)

    2024年02月08日
    瀏覽(26)
  • Altium Designer(AD)軟件使用記錄13-PCB布線部分之數(shù)據(jù)線的等長處理

    Altium Designer(AD)軟件使用記錄13-PCB布線部分之數(shù)據(jù)線的等長處理

    數(shù)據(jù)線的等長是點對點的等長,并沒有拓撲結構。 等長目的是為了滿足時序的匹配,我們需要進行數(shù)據(jù)線的等長。 允許存在一定的誤差,SDRAM 允許±50mil 高速規(guī)則設置: 等長公差: 如果有多個數(shù)據(jù)線的類,就新建幾個規(guī)則創(chuàng)建就可以了。 創(chuàng)建高速規(guī)則以后: 先找到最長的那

    2024年02月14日
    瀏覽(22)
  • ChatGPT更新的使用指南,與其他類似的人工智能的軟件和服務-更新版(2023-6-25)

    ChatGPT更新的使用指南,與其他類似的人工智能的軟件和服務-更新版(2023-6-25)

    如果你還不知道如何注冊和使用,可看末尾,手把手教你。 ChatGPT 是一種基于自然語言處理技術的聊天機器人,它是由 OpenAI 公司開發(fā)的,使用了最新的語言模型技術。 ChatGPT 能夠理解和產生自然語言,可以模擬人類的對話,回答各種問題,提供相關的建議和信息,并且具有

    2024年02月11日
    瀏覽(29)
  • Mars3D使用過程遇到的問題記錄【持續(xù)更新】

    需要標注線面的角度heading 2022年6月23日 heading計算方式: https://turfjs.fenxianglu.cn/ 計算兩點之間的角度 直接F12在控制臺可以計算 eg: 加載gltf模型,模型是透明的,需要改為不透明 2022年6月23日 用文本編輯器打開.gltf,把里面的\\\"alphaMode\\\":\\\"BLEND\\\"改成\\\"alphaMode\\\":\\\"OPAQUE\\\" 模型旋轉之后,標

    2024年02月08日
    瀏覽(30)
  • FPGA新起點V1開發(fā)板(三)——Quartus II軟件的使用(流水燈的燒錄以及sof轉jic的方法記錄)

    FPGA新起點V1開發(fā)板(三)——Quartus II軟件的使用(流水燈的燒錄以及sof轉jic的方法記錄)

    先創(chuàng)建一個工程文件夾 flow_led 再創(chuàng)建四個子文件夾 doc存放工程的設計文檔或者其他一些datasheet文檔、數(shù)據(jù)手冊 par存放工程文件 rtl存放設計文件,也就代碼 sim存放工程的仿真文件 第一個第四個可以為空,但是做此可以有良好的習慣 這是打開一個工程向導 這是選擇FPGA的芯片

    2024年02月04日
    瀏覽(20)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領取紅包,優(yōu)惠每天領

二維碼1

領取紅包

二維碼2

領紅包