国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形

這篇具有很好參考價(jià)值的文章主要介紹了從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請(qǐng)大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

????????上次介紹了如何創(chuàng)建工程,這次來實(shí)現(xiàn)一個(gè)波形的產(chǎn)生,vivado用的是Verilog語言,語法很簡單就不單獨(dú)說了,慢慢在程序里很快就學(xué)會(huì)了,大概的介紹我粘在文章最后,想看就看不想看直接實(shí)操也行。

? ? ? ? 在創(chuàng)建的主程序中找到這個(gè)模塊的括號(hào),我把括號(hào)內(nèi)容理解為這個(gè)模塊的端口,如下面的程序所示,有一個(gè)時(shí)鐘端口,一個(gè)復(fù)位端口,和一個(gè)輸出端口,逗號(hào)隔開,最后一個(gè)不用加。紅線是因?yàn)闆]對(duì)他們進(jìn)行聲明,我習(xí)慣在括號(hào)外進(jìn)行聲明,這樣之后的例化可以直接復(fù)制(例化會(huì)在后面說)

從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

下面對(duì)信號(hào)進(jìn)行聲明,時(shí)鐘信號(hào)和復(fù)位信號(hào)肯定是需要輸入的,輸出信號(hào)輸出,如圖,這時(shí)候報(bào)錯(cuò)就沒有了,1.input,output表示輸入或輸出。2.表示聲明的名字,每個(gè)語句要加分號(hào)3.表示這個(gè)變量占多少個(gè)二進(jìn)制數(shù),本文這個(gè)是八位二進(jìn)制數(shù)(0,1,2,3,4,5,6,7八位),也就是輸出要小于等于255

從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

?接下來定義信號(hào)類型,設(shè)計(jì)中所有的信號(hào)類型定義,只有 reg 和 wire 兩種,現(xiàn)在先知道,下面會(huì)說他兩個(gè)的區(qū)別。定義的時(shí)候同樣考慮信號(hào)的大小,不寫的話默認(rèn)為一位,如果不定義信號(hào)類型默認(rèn)為wire型。

從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

下面編寫程序的主要模塊,1.直接先照抄,表示時(shí)序邏輯。2.(posedge clk or negedge rst_n)叫做敏感列表 ,也就是說每逢時(shí)鐘的上升沿和復(fù)位信號(hào)的下降沿,都要執(zhí)行一遍這個(gè)程序。3.begin end 表示這之間是一個(gè)整體,里面的語句順序執(zhí)行。

中間為了方便我就直接把這個(gè)時(shí)鐘和復(fù)位的值減了一下,其中可以發(fā)現(xiàn),我使用了一個(gè)'<='進(jìn)行賦值,這叫做非阻塞賦值,一個(gè)小技巧,這種賦值方式只用在?always@(posedge clk or negedge rst_n) 這里面,其他的用等號(hào)賦值,同時(shí)'<='所指的變量要用reg進(jìn)行定義,也就是reg型,其他都是wire型。這樣我們的主程序就寫完了,ctrl+s保存。

從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

?下面我們開始寫測(cè)試文件進(jìn)行仿真,直接上代碼你們看注釋吧,基本每句都解釋了

module tb_project_2;

reg     clk     ;//定義變量,下邊clk被‘<=’指著,所以用reg型
reg     rst_n   ;
wire    out     ;//輸出沒被指著所以是wire型

initial begin     //照著寫就行,initial里面就是信號(hào)的波形
    clk <= 0    ;//初始化時(shí)鐘
    forever #10 clk <=~clk;//循環(huán),每隔10個(gè)單位時(shí)鐘反向一次,一單位時(shí)間在程序第一行
end
initial begin     
    rst_n <= 0      ;
    #100 rst_n <= 1 ;//#100的意思就是經(jīng)過100個(gè)單位執(zhí)行下一條
end
project_2 tb(           //例化,下面會(huì)解釋
    clk             ,
    rst_n           ,
    out             
    );

endmodule

現(xiàn)在說一下例化,把主程序這塊復(fù)制下來,

從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

粘貼到測(cè)試程序中,加'空格'和'例化名',我都是隨便寫例化名,一般就寫tb,例化可以把測(cè)試文件的三個(gè)端口和主程序的三個(gè)端口連接起來,還有一種更規(guī)范的寫法。在下一個(gè)圖

從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

?從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

?這樣就完成了整個(gè)工程的程序書寫,ctrl+s保存

下面運(yùn)行一下試試

?從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

?點(diǎn)這個(gè)Run Simulation,然后點(diǎn)run這個(gè)就行了,生成的波形文件放大,拉到最前邊,可能因?yàn)槊總€(gè)上升沿執(zhí)行clk-rst_n,out是這樣,時(shí)鐘和復(fù)位信號(hào)相減(這里輸出我也不太明白)

從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

?文章來源地址http://www.zghlxwxcb.cn/news/detail-754969.html

?下面是Verilog的一些語法從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

?

從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

?從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形,fpga開發(fā)

?

?

到了這里,關(guān)于從小白開始學(xué)FPGA,vivado實(shí)操第一次,初步產(chǎn)生波形的文章就介紹完了。如果您還想了解更多內(nèi)容,請(qǐng)?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請(qǐng)注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請(qǐng)點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • 研一小白記錄第一次在實(shí)驗(yàn)室服務(wù)器上跑深度學(xué)習(xí)的代碼全過程(實(shí)驗(yàn)室服務(wù)器上跑代碼詳細(xì)全過程哦)

    研一小白記錄第一次在實(shí)驗(yàn)室服務(wù)器上跑深度學(xué)習(xí)的代碼全過程(實(shí)驗(yàn)室服務(wù)器上跑代碼詳細(xì)全過程哦)

    你在服務(wù)器上跑過代碼嗎?哇~你跑過!是啥樣的...每回見別人跑都會(huì)問并且羨慕會(huì)在大服務(wù)器上跑代碼的哈哈哈在研究生剛開學(xué)前還甚至不知道什么是服務(wù)器,更是無法想象在除了自己能看得見摸得著的電腦屏幕之外跑代碼的樣子。直到有天開會(huì)自己坐在了一個(gè)大大的“黑箱

    2024年02月02日
    瀏覽(30)
  • docker第一次作業(yè)

    docker第一次作業(yè) 1.安裝docker服務(wù),配置鏡像加速器 ?yum install -y yum-utils device-mapper-persistent-data lvm2 y um-config-manager --add-repo https: //mirrors.aliyun.com/docker-ce/linux/centos/docker-ce.repo sed -i \\\'s+download.docker.com+mirrors.aliyun.com/docker-ce+\\\' ?/etc/yum.repos.d/docker-ce.repo yum makecache fast yum -y install docke

    2024年02月12日
    瀏覽(25)
  • 第一次博客作業(yè)

    第一次博客作業(yè)

    這學(xué)期才開始接觸Java,之前只學(xué)了C語言,所以一開始寫題目的代碼的時(shí)候?qū)ava的眾多函數(shù)和語法不是太熟悉,一開始就上手寫代碼有點(diǎn)不適應(yīng)。 ?? 關(guān)于類: 1、類似C中的struct,構(gòu)造函數(shù)、內(nèi)置方法(函數(shù) )都比較相似 2、盡量避免代碼的重復(fù),把private和public的方法搞清晰。

    2024年02月08日
    瀏覽(23)
  • jQuery第一次接觸

    jQuery是一個(gè)輕量級(jí)js庫 1.下載jquery庫,網(wǎng)址Download jQuery | jQuery npm i jquery 2.還可以從cdn中載入jquery script src=\\\"https://cdn.staticfile.org/jquery/1.10.2/jquery.min.js\\\" 3.j代表js,query代表查詢,jQuery可以進(jìn)行查詢的js語言,主要用來查詢html元素 4.基礎(chǔ)語法$(selector).action(),其中selector代表要進(jìn)行操

    2024年02月12日
    瀏覽(30)
  • python 第一次作業(yè)

    python 第一次作業(yè)

    因?yàn)楣P者有一些 c/c++ 語言的基礎(chǔ),所以應(yīng)該學(xué) python 會(huì)稍微簡單一些 輸入的時(shí)候所有的輸入都是字符串類型,我們需要進(jìn)行類型轉(zhuǎn)換 參見資源里面的第三題和第四題,為了方便起見,直接把代碼貼在下面

    2024年03月25日
    瀏覽(22)
  • shell第一次作業(yè)

    shell第一次作業(yè)

    1、判斷當(dāng)前磁盤剩余空間是否有20G,如果小于20G,則將報(bào)警郵件發(fā)送給管理員,每天檢查次磁盤剩余空間。 2、判斷web服務(wù)是否運(yùn)行 ? ?1、查看進(jìn)程的方式判斷該程序是否運(yùn)行, ? ?2、通過查看端口的方式判斷該程序是否運(yùn)行,如果沒有運(yùn)行,則啟動(dòng)該服務(wù)并配置防火墻規(guī)

    2024年02月09日
    瀏覽(24)
  • 第一次作業(yè)

    第一次作業(yè)

    作業(yè)內(nèi)容:1,atd和crond的區(qū)別 ? ? ? ? ? ? ? ? ? 2,指定在2023/08/26 09:00將時(shí)間寫入testmail.txt文件中 ? ? ? ? ? ? ? ? ? 3,指定在每天凌晨4:00將該時(shí)間點(diǎn)之前的系統(tǒng)日志信息備份到個(gè)目錄下(/var/log/messages ),備份后日志文件名顯示格式logfileYY-MM-DD HH-MM 1、運(yùn)行方式不同

    2023年04月20日
    瀏覽(21)
  • 樹莓派第一次開機(jī)

    樹莓派第一次開機(jī)

    樹莓派由英國的樹莓派基金會(huì)發(fā)行,旨在通過發(fā)行這個(gè)廉價(jià)開源的可隨意破解的微型計(jì)算機(jī),推動(dòng)中小學(xué)編程教育,發(fā)行之后很快在全世界的開源創(chuàng)客圈中流行。截止到2018年10月,最新版本的樹莓派主板是3B+,國內(nèi)某寶上賣230元左右,還有更微型的樹莓派主板Zero,國內(nèi)某寶賣

    2024年02月13日
    瀏覽(19)
  • 新學(xué)期第一次課

    新學(xué)期第一次課

    在信息化飛速發(fā)展的今天,大數(shù)據(jù)技術(shù)的應(yīng)用日益廣泛,其重要性也日益凸顯。對(duì)于大數(shù)據(jù)學(xué)院的同學(xué)來說,掌握行業(yè)前沿技術(shù)是至關(guān)重要的。本篇文章將詳細(xì)指導(dǎo)同學(xué)們?nèi)绾渭尤隥Q群、云班課,并學(xué)會(huì)使用思維導(dǎo)圖和CSDN博客。 我們有兩個(gè)QQ群,分別是2021計(jì)應(yīng)1班行業(yè)前沿技

    2024年02月10日
    瀏覽(27)
  • 第一次PR經(jīng)歷

    第一次PR經(jīng)歷

    ? ? ?

    2024年02月13日
    瀏覽(25)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請(qǐng)作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包