国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

FPGA(verilog)頻率計(jì)實(shí)驗(yàn)——學(xué)習(xí)歷程①

這篇具有很好參考價(jià)值的文章主要介紹了FPGA(verilog)頻率計(jì)實(shí)驗(yàn)——學(xué)習(xí)歷程①。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

一、前言

????????本文利用verilog語言完成頻率計(jì)實(shí)驗(yàn),由于是第一次發(fā)文,文章格式以及描述語言請諒解,內(nèi)容僅供參考,煩請各路大神指正。

二、模塊

  1. 數(shù)碼管動態(tài)顯示模塊
  2. 分頻模塊
  3. 頻率測試模塊
  4. 頂層調(diào)用模塊

三、主要代碼

?1、數(shù)碼管動態(tài)顯示模塊

module seg_led(
?? ?input clk,
?? ?input rst_n,
?? ?input [19:0] ?? ??? ?data,//6個數(shù)碼管最高顯示999999,需要20位
?? ?input [5:0] ?? ??? ?point,//小數(shù)點(diǎn)
?? ?input ?? ??? ??? ??? ?sign,//負(fù)號
?? ?input ?? ??? ??? ??? ?en,
?? ?output reg [7:0]?? ?seg_data,//數(shù)碼管顯示的筆畫值
?? ?output reg [5:0] ?? ?seg_sel
);

wire [3:0] data0;//個位
wire [3:0] data1;//十位
wire [3:0] data2;//百位
wire [3:0] data3;//千位
wire [3:0] data4;//萬位
wire [3:0] data5;//十萬位

reg [3:0] ?? ?clk_cnt;
reg ?? ??? ??? ?dri_clk;
reg [23:0] ?? ?num;
reg [12:0]?? ?cnt0;
reg ?? ??? ??? ?flag;
reg [2:0] ?? ?cnt_sel;
reg [3:0] ?? ?num_disp;
reg ?? ??? ??? ?dot_disp;

parameter CLK_DIVIDE = 4'd10;
parameter MCNT = 13'd5_000;

assign data0 = data%4'd10;//每個數(shù)碼管取模運(yùn)算
assign data1 = data/4'd10%4'd10;
assign data2 = data/7'd100%4'd10;
assign data3 = data/10'd1000%4'd10;
assign data4 = data/14'd10000%4'd10;
assign data5 = data/17'd100000;

always@(posedge clk or negedge rst_n)begin
?? ?if(!rst_n)begin
?? ??? ?clk_cnt <= 4'b0;
?? ??? ?dri_clk <= 1'b1;
?? ?end
?? ?else if(clk_cnt == CLK_DIVIDE/2-1'b1)begin
?? ??? ?clk_cnt <= 4'b0;
?? ??? ?dri_clk <= ~dri_clk;
?? ?end
?? ?else begin
?? ??? ?clk_cnt <= clk_cnt + 1'b1;
?? ??? ?dri_clk <= dri_clk;
?? ?end
end

always@(posedge dri_clk or negedge rst_n)begin
?? ?if(!rst_n)
?? ??? ?num <= 24'd0;
?? ?else begin
?? ??? ??? ?if(data5||point[5])begin
?? ??? ??? ??? ?num[23:20]<=data5;
?? ??? ??? ??? ?num[19:16]<=data4;
?? ??? ??? ??? ?num[15:12]<=data3;
?? ??? ??? ??? ?num[11:8]<=data2;
?? ??? ??? ??? ?num[7:4]<=data1;
?? ??? ??? ??? ?num[3:0]<=data0;
?? ??? ?end
?? ??? ?else begin
?? ??? ??? ??? ?if(data4||point[4])begin
?? ??? ??? ??? ??? ?num[19:0]<={data4,data3,data2,data1,data0};
?? ??? ??? ??? ?if(sign)
?? ??? ??? ??? ??? ?num[23:20]<=4'd11;
?? ??? ??? ??? ?else
?? ??? ??? ??? ??? ?num[23:20]<=4'd10;
?? ??? ??? ?end
?? ??? ??? ?else begin
?? ??? ??? ??? ??? ?if(data3||point[3])begin
?? ??? ??? ??? ??? ??? ?num[15:0]<={data3,data2,data1,data0};
?? ??? ??? ??? ??? ??? ?num[23:20]<=4'd10;
?? ??? ??? ??? ??? ?if(sign)
?? ??? ??? ??? ??? ??? ?num[19:16]<=4'd11;
?? ??? ??? ??? ??? ?else
?? ??? ??? ??? ??? ??? ?num[19:16]<=4'd10;
?? ??? ??? ??? ?end?? ?
?? ??? ??? ??? ?else begin
?? ??? ??? ??? ??? ??? ?if(data2||point[2])begin
?? ??? ??? ??? ??? ??? ??? ?num[11:0]<={data2,data1,data0};
?? ??? ??? ??? ??? ??? ??? ?num[23:16]<={2{4'd10}};
?? ??? ??? ??? ??? ??? ?if(sign)
?? ??? ??? ??? ??? ??? ??? ?num[15:12]<=4'd11;
?? ??? ??? ??? ??? ??? ?else
?? ??? ??? ??? ??? ??? ??? ?num[15:12]<=4'd10;
?? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ?else begin
?? ??? ??? ??? ??? ??? ??? ?if(data1||point[1])begin
?? ??? ??? ??? ??? ??? ??? ??? ?num[7:0]<={data1,data0};
?? ??? ??? ??? ??? ??? ??? ??? ?num[23:12]<={3{4'd10}};
?? ??? ??? ??? ??? ??? ??? ?if(sign)
?? ??? ??? ??? ??? ??? ??? ??? ?num[11:8]<=4'd11;
?? ??? ??? ??? ??? ??? ??? ?else
?? ??? ??? ??? ??? ??? ??? ??? ?num[11:8]<=4'd10;
?? ??? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ??? ?else begin
?? ??? ??? ??? ??? ??? ??? ??? ?num[3:0]<={data2,data1,data0};
?? ??? ??? ??? ??? ??? ??? ??? ?num[23:8]<={4{4'd10}};
?? ??? ??? ??? ??? ??? ??? ?if(sign)
?? ??? ??? ??? ??? ??? ??? ??? ?num[7:4]<=4'd11;
?? ??? ??? ??? ??? ??? ??? ?else
?? ??? ??? ??? ??? ??? ??? ??? ?num[7:4]<=4'd10;
?? ??? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ?end
?? ??? ??? ??? ?end
?? ??? ??? ?end
?? ??? ?end
?? ?end
end

always@(posedge dri_clk or negedge rst_n)begin
?? ?if(!rst_n)begin
?? ??? ?cnt0 <= 13'b0;
?? ??? ?flag <= 1'b0;
?? ?end
?? ?else if(cnt0 == MCNT - 1)begin
?? ??? ?cnt0 ?<= 13'b0;
?? ??? ?flag <= 1'b1;
?? ?end
?? ?else begin
?? ??? ?cnt0 ?<= cnt0 + 13'b1;
?? ??? ?flag <= 1'b0;
?? ?end?? ?
end

always@(posedge dri_clk or negedge rst_n)begin
?? ?if(!rst_n)
?? ??? ?cnt_sel <= 3'b0;
?? ?else if(flag)
?? ?begin
?? ??? ?if(cnt_sel < 3'd5)
?? ??? ??? ?cnt_sel <= cnt_sel + 1'b1;
?? ??? ?else
?? ??? ??? ?cnt_sel <= 3'b0;
?? ?end
?? ?else
?? ??? ?cnt_sel <= cnt_sel;
end

always@(posedge dri_clk or negedge rst_n)
begin
?? ?if(!rst_n)
?? ??? ?begin
?? ??? ??? ?seg_sel <= 6'b11_1111;
?? ??? ??? ?num_disp <= 4'b0;
?? ??? ??? ?dot_disp <= 1'b0;
?? ??? ?end
?? ?else?
?? ??? ?begin
?? ??? ??? ?if (en)
?? ??? ??? ??? ?begin
?? ??? ??? ??? ??? ?case(cnt_sel)
?? ??? ??? ??? ??? ??? ?3'd0 :begin
?? ??? ??? ??? ??? ??? ?seg_sel <= 6'b11_1110;
?? ??? ??? ??? ??? ??? ?num_disp <= num[3:0];
?? ??? ??? ??? ??? ??? ?dot_disp <= ~point[0];
?? ??? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ??? ?3'd1 :begin
?? ??? ??? ??? ??? ??? ?seg_sel <= 6'b11_1101;
?? ??? ??? ??? ??? ??? ?num_disp <= num[7:4];
?? ??? ??? ??? ??? ??? ?dot_disp <= ~point[1];
?? ??? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ??? ?3'd2 :begin
?? ??? ??? ??? ??? ??? ?seg_sel <= 6'b11_1011;
?? ??? ??? ??? ??? ??? ?num_disp <= num[11:8];
?? ??? ??? ??? ??? ??? ?dot_disp <= ~point[2];
?? ??? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ??? ?3'd3 :begin
?? ??? ??? ??? ??? ??? ?seg_sel <= 6'b11_0111;
?? ??? ??? ??? ??? ??? ?num_disp <= num[15:12];
?? ??? ??? ??? ??? ??? ?dot_disp <= ~point[3];
?? ??? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ??? ?3'd4 :begin
?? ??? ??? ??? ??? ??? ?seg_sel <= 6'b10_1111;
?? ??? ??? ??? ??? ??? ?num_disp <= num[19:16];
?? ??? ??? ??? ??? ??? ?dot_disp <= ~point[4];
?? ??? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ??? ?3'd5 :begin
?? ??? ??? ??? ??? ??? ?seg_sel <= 6'b01_1111;
?? ??? ??? ??? ??? ??? ?num_disp <= num[23:20];
?? ??? ??? ??? ??? ??? ?dot_disp <= ~point[5];
?? ??? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ??? ?default :begin
?? ??? ??? ??? ??? ??? ?seg_sel <= 6'b11_1111;
?? ??? ??? ??? ??? ??? ?num_disp <= 4'b0;
?? ??? ??? ??? ??? ??? ?dot_disp <= 1'b1;
?? ??? ??? ??? ??? ??? ?end
?? ??? ??? ??? ??? ?endcase
?? ??? ??? ??? ?end
?? ??? ??? ?else begin
?? ??? ??? ??? ?seg_sel <= 6'b11_1111;
?? ??? ??? ??? ?num_disp <= 4'b0;
?? ??? ??? ??? ?dot_disp <= 1'b1;
?? ??? ??? ?end
?? ??? ?end
end

always@(posedge dri_clk or negedge rst_n)
begin
?? ?if(!rst_n)
?? ??? ?seg_data <= 8'hc0;
?? ?else?
?? ??? ?begin
?? ??? ??? ?case(num_disp)
?? ??? ??? ??? ?4'd0 : seg_data <= {dot_disp,7'b100_0000};
?? ??? ??? ??? ?4'd1 : seg_data <= {dot_disp,7'b111_1001};
?? ??? ??? ??? ?4'd2 : seg_data <= {dot_disp,7'b010_0100};
?? ??? ??? ??? ?4'd3 : seg_data <= {dot_disp,7'b011_0000};
?? ??? ??? ??? ?4'd4 : seg_data <= {dot_disp,7'b001_1001};
?? ??? ??? ??? ?4'd5 : seg_data <= {dot_disp,7'b001_0010};
?? ??? ??? ??? ?4'd6 : seg_data <= {dot_disp,7'b000_0010};
?? ??? ??? ??? ?4'd7 : seg_data <= {dot_disp,7'b111_1000};
?? ??? ??? ??? ?4'd8 : seg_data <= {dot_disp,7'b000_0000};
?? ??? ??? ??? ?4'd9 : seg_data <= {dot_disp,7'b001_0000};
?? ??? ??? ??? ?4'd10 : seg_data <= {8'b1111_1111};
?? ??? ??? ??? ?4'd11 : seg_data <= {8'b1011_1111};
?? ??? ??? ?default:
?? ??? ??? ??? ?seg_data<={dot_disp,7'b100_0000};
?? ??? ??? ?endcase
?? ??? ?end
end
?? ?
endmodule

?2、頻率測試模塊

module cymometer(?? ?
?? ?input clk,
?? ?input rst_n,
?? ?input clk_fx,
?? ?output reg [31:0]?? ?fre
);
?
parameter TIME_SYS = 20;
parameter TIME_GATE = 500_000_000;
localparam N = TIME_GATE /?? ?TIME_SYS;
reg gate;
reg [31:0] cnt_gate;
reg [31:0] cnt_fx;
?
wire gate_n;
?
assign gate_n = ~gate;
always @(posedge clk or negedge rst_n)begin?? ?
?? ?if(!rst_n)begin
?? ??? ?cnt_gate <=0;
?? ??? ?gate <=0;
?? ?end?? ?
?? ?else begin
?? ??? ?if(cnt_gate == N-1)begin
?? ??? ??? ?cnt_gate <= 0;
?? ??? ??? ?gate <= ~gate;
?? ??? ?end?? ?
?? ??? ?else
?? ??? ?cnt_gate<=cnt_gate+1;
?? ?end
end?
always @(posedge clk_fx or negedge rst_n)begin?? ?
?? ?if(!rst_n)
?? ??? ?cnt_fx <= 0;
?? ?else if(gate)
?? ??? ?cnt_fx <= cnt_fx + 1;
?? ?else
?? ??? ?cnt_fx <= 0;
end
?
always @(posedge gate_n or negedge rst_n)begin?? ?
?? ?if(!rst_n)
?? ??? ?fre <= 0;
?? ?else?
?? ??? ?fre <= 1000_000_000/TIME_GATE * cnt_fx;?? ?
end
?? ?
endmodule

?3、分頻模塊

module test_div(
?? ?input clk, ? ? ?
?? ?input rst_n, ? ? ??
?? ?output reg div_clk
);

reg [9:0]clk_cnt;?
parameter CLK_DIVIDE = 7'd100;?

always @(posedge clk or negedge rst_n)begin
?? ?if(!rst_n) begin
? ? ? clk_cnt <= 10'd0;
? ? ? div_clk <= 1'b0;
? ?end
? ?else if(clk_cnt == CLK_DIVIDE/2 - 1'd1)begin
? ? ? clk_cnt <= 10'd0;
? ? ? div_clk <= ~div_clk;
? ?end
? ?else begin
?? ??? ?clk_cnt <= clk_cnt + 1'b1;
?? ??? ?div_clk <= div_clk;
? ?end
end

endmodule

四、總結(jié)

????????通過以上代碼,最后完成頂層調(diào)用模塊,再分配引腳,即可完成實(shí)驗(yàn)。

????????分頻模塊對系統(tǒng)時鐘進(jìn)行100分頻(500KHZ)輸入給頻率測量模塊,通過周期測量法在數(shù)碼管中顯示5000000。(自己產(chǎn)生頻率自己測量)

五、圖片

?verilog 測量數(shù)字信號發(fā)生器輸出的頻率,fpga開發(fā)

?verilog 測量數(shù)字信號發(fā)生器輸出的頻率,fpga開發(fā)verilog 測量數(shù)字信號發(fā)生器輸出的頻率,fpga開發(fā)

????????由于本人初學(xué)fgpa有很多困難并未解決,代碼雖然可以運(yùn)行但可能并不是最優(yōu),本文僅用于記錄自己的學(xué)習(xí)歷程以及實(shí)驗(yàn)總結(jié),煩請各位指正以及交流,歡迎各位私信交流。文章來源地址http://www.zghlxwxcb.cn/news/detail-735844.html

到了這里,關(guān)于FPGA(verilog)頻率計(jì)實(shí)驗(yàn)——學(xué)習(xí)歷程①的文章就介紹完了。如果您還想了解更多內(nèi)容,請?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • 等精度頻率計(jì)verilog,quartus仿真視頻,原理圖,代碼

    等精度頻率計(jì)verilog,quartus仿真視頻,原理圖,代碼

    名稱:等精度頻率計(jì)設(shè)計(jì)verilog quartus仿真 軟件:Quartus 語言:Verilog 要求: A:測量范圍信號:方波 ????頻率:100Hz~1MHz; B:測試誤差:0.1%(全量程) C:時鐘頻率:50kHz D:預(yù)閘門時間:01s E:系統(tǒng)時鐘頻率:50MHz F:頻率計(jì)算:保留1位小數(shù) 本代碼下載:等精度頻率計(jì)設(shè)計(jì)verilog,quartus仿真

    2024年02月07日
    瀏覽(21)
  • 基于FPGA的數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

    基于FPGA的數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn) 數(shù)字頻率計(jì)是一種重要的電子測試儀器,它可以用來測量信號的頻率和周期等參數(shù),被廣泛應(yīng)用于科學(xué)研究、工程設(shè)計(jì)及生產(chǎn)制造等領(lǐng)域。本文將介紹一種基于FPGA的數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)方法,并給出相應(yīng)的源代碼。 一、設(shè)計(jì)原理 數(shù)字

    2024年01月23日
    瀏覽(21)
  • 數(shù)字頻率計(jì)Verilog代碼Quartus DE1-SoC開發(fā)板

    數(shù)字頻率計(jì)Verilog代碼Quartus DE1-SoC開發(fā)板

    名稱:數(shù)字頻率計(jì)Verilog代碼Quartus??DE1-SoC開發(fā)板(文末獲取) 軟件:Quartus 語言:Verilog 代碼功能: 數(shù)字頻率計(jì)? ? 采用一個標(biāo)準(zhǔn)的基準(zhǔn)時鐘,在1s里對被測信號的脈沖數(shù)進(jìn)行計(jì)數(shù),即為信號頻率利用等精度測量法可以測量1hz至99999999Hz信號頻率 七段碼管顯示測量值 本代碼已在

    2024年02月03日
    瀏覽(43)
  • 孩子都能學(xué)會的FPGA:第二十五課——用FPGA實(shí)現(xiàn)頻率計(jì)

    孩子都能學(xué)會的FPGA:第二十五課——用FPGA實(shí)現(xiàn)頻率計(jì)

    (原創(chuàng)聲明:該文是 作者的原創(chuàng) ,面向?qū)ο笫?FPGA入門者 ,后續(xù)會有進(jìn)階的高級教程。宗旨是 讓每個想做FPGA的人輕松入門 , 作者不光讓大家知其然,還要讓大家知其所以然 !每個工程作者都搭建了全自動化的仿真環(huán)境,只需要雙擊 top_tb.bat 文件就可以完成整個的仿真(前

    2024年02月02日
    瀏覽(18)
  • 數(shù)字頻率計(jì)

    ? 電子技術(shù)應(yīng)用實(shí)習(xí) 目錄 1 ?實(shí)習(xí)目的、內(nèi)容和要求 1 1.1 實(shí)習(xí)目的 1 1.2 實(shí)習(xí)內(nèi)容 1 1.3 實(shí)習(xí)要求 1 ????????1.3.1設(shè)計(jì)要求..................................................................................................................1 1.3.2實(shí)習(xí)任務(wù)要求 2 2 ?設(shè)計(jì)原理及軟件簡介 3 2.1設(shè)計(jì)原理 3 2.2M

    2023年04月18日
    瀏覽(20)
  • 基于51單片機(jī)的頻率計(jì)

    基于51單片機(jī)的頻率計(jì)

    前言:設(shè)計(jì)一個能產(chǎn)生固定頻率的電路,然后經(jīng)過單片機(jī)處理后顯示該固定頻率的系統(tǒng)。 1、指標(biāo)以及功能要求 指標(biāo):該系統(tǒng)要能夠產(chǎn)生一個31KHz的方波,進(jìn)過單片機(jī)脈沖采集后能夠在液晶上顯示出該頻率。要求:所用的知識要涉及到模擬電路知識和數(shù)字電路知識。 2、設(shè)計(jì)分

    2024年02月09日
    瀏覽(30)
  • VHDL實(shí)現(xiàn)數(shù)字頻率計(jì)的設(shè)計(jì)

    VHDL實(shí)現(xiàn)數(shù)字頻率計(jì)的設(shè)計(jì)

    當(dāng)設(shè)計(jì)文件加載到目標(biāo)器件后,撥動開關(guān)的K1,使其置為高電平,從輸入輸出觀測模塊的輸入端輸入一個頻率大于1Hz的時鐘信號,這時在數(shù)碼管上顯示這個時鐘信號的頻率值。如果使撥動開關(guān)置為低電平,數(shù)碼管上顯示的值為系統(tǒng)上的數(shù)字信號源的時鐘頻率。改變數(shù)字信號源

    2024年02月02日
    瀏覽(22)
  • 【單片機(jī)】STM32單片機(jī)頻率計(jì)程序,外部脈沖計(jì)數(shù)程序,基于脈沖計(jì)數(shù)的頻率計(jì)程序,STM32F103

    【單片機(jī)】STM32單片機(jī)頻率計(jì)程序,外部脈沖計(jì)數(shù)程序,基于脈沖計(jì)數(shù)的頻率計(jì)程序,STM32F103

    兩種方法用于在單片機(jī)中實(shí)現(xiàn)頻率計(jì)的功能。 第一種方法是通過定時器來衡量信號的周期,然后將周期轉(zhuǎn)換為頻率。在這種方法中,你可以使用單片機(jī)的定時器模塊來測量輸入信號的周期,定時器會產(chǎn)生一個計(jì)數(shù)值,你可以根據(jù)這個計(jì)數(shù)值來推算出輸入信號的周期,并通過簡

    2024年02月11日
    瀏覽(26)
  • 基于51單片機(jī)數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

    基于51單片機(jī)數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

    目錄 第一章 系統(tǒng)原理與總體設(shè)計(jì) 1.1系統(tǒng)組成 1.2系統(tǒng)原理 1.3測量原理 1.4頻率測量與總體設(shè)計(jì) 第二章 硬件電路設(shè)計(jì) 2.1硬件電路框圖 2.2數(shù)字頻率計(jì)原理圖 2.3硬件電路設(shè)計(jì) 第三章 軟件程序設(shè)計(jì) 3.1程序流程圖 3.2顯示電路程序設(shè)計(jì) 3.3 定時器初始化程序設(shè)計(jì) 3.4中斷控制程序設(shè)計(jì)

    2024年02月08日
    瀏覽(22)
  • 36、基于51單片機(jī)頻率計(jì) LCD 1602顯示系統(tǒng)設(shè)計(jì)

    36、基于51單片機(jī)頻率計(jì) LCD 1602顯示系統(tǒng)設(shè)計(jì)

    數(shù)字頻率計(jì)是一種基本的測量儀器。它被廣泛應(yīng)用于航天、電子、測控等領(lǐng)域,還被應(yīng)用在計(jì)算機(jī)及各種數(shù)學(xué)儀表中。一般采用的是十進(jìn)制數(shù)字,顯示被測信號頻率?;竟δ苁菧y量正弦信號,方波信號以及其他各種單位時間內(nèi)變壞的物理量。由于其使用十進(jìn)制數(shù)顯示,測量

    2024年02月03日
    瀏覽(25)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包