国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

Verilog語(yǔ)法學(xué)習(xí)——LV6_多功能數(shù)據(jù)處理器

這篇具有很好參考價(jià)值的文章主要介紹了Verilog語(yǔ)法學(xué)習(xí)——LV6_多功能數(shù)據(jù)處理器。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請(qǐng)大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問(wèn)。

LV6_多功能數(shù)據(jù)處理器

題目來(lái)源于??途W(wǎng)

[??途W(wǎng)在線編程_Verilog篇_Verilog快速入門 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

題目

描述

根據(jù)指示信號(hào)select的不同,對(duì)輸入信號(hào)a,b實(shí)現(xiàn)不同的運(yùn)算。輸入信號(hào)a,b為8bit有符號(hào)數(shù),當(dāng)select信號(hào)為0,輸出a;當(dāng)select信號(hào)為1,輸出b;當(dāng)select信號(hào)為2,輸出a+b;當(dāng)select信號(hào)為3,輸出a-b.
接口信號(hào)圖如下:

Verilog語(yǔ)法學(xué)習(xí)——LV6_多功能數(shù)據(jù)處理器,Verilog語(yǔ)法學(xué)習(xí),學(xué)習(xí),fpga開(kāi)發(fā)

輸入描述:

clk:系統(tǒng)時(shí)鐘

rst_n:復(fù)位信號(hào),低電平有效

a,b:8bit位寬的有符號(hào)數(shù)

select:2bit位寬的無(wú)符號(hào)數(shù)

輸出描述:

c:9bit位寬的有符號(hào)數(shù)文章來(lái)源地址http://www.zghlxwxcb.cn/news/detail-617843.html

代碼

`timescale 1ns/1ns
module data_select(
	input clk,
	input rst_n,
	input signed[7:0]a,
	input signed[7:0]b,
	input [1:0]select,
	output reg signed [8:0]c
);
//*************code***********//
/*代碼思路:case(select)來(lái)執(zhí)行不同的輸出
select = 0: 輸出a
select = 1: 輸出b
select = 2: 輸出a+b	
select = 3: 輸出a-b*/

	always @(posedge clk or negedge rst_n) begin
		if(!rst_n)
			c <= 0;
		else begin
			case (select)
				2'd0: begin 
					c <= a;
				end
				2'd1: begin 
					c <= b;
				end
				2'd2: begin 
					c <= a + b;
				end
				2'd3: begin 
					c <= a - b;
				end
			endcase
		end
	end
	
//*************code***********//
endmodule

到了這里,關(guān)于Verilog語(yǔ)法學(xué)習(xí)——LV6_多功能數(shù)據(jù)處理器的文章就介紹完了。如果您還想了解更多內(nèi)容,請(qǐng)?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來(lái)自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場(chǎng)。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請(qǐng)注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請(qǐng)點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • 大數(shù)據(jù)畢設(shè)分享 多功能 Web 應(yīng)用滲透測(cè)試系統(tǒng)(源碼+論文)

    大數(shù)據(jù)畢設(shè)分享 多功能 Web 應(yīng)用滲透測(cè)試系統(tǒng)(源碼+論文)

    # 0 簡(jiǎn)介 今天學(xué)長(zhǎng)向大家介紹適合作為畢設(shè)的項(xiàng)目: 畢設(shè)分享 多功能 Web 應(yīng)用滲透測(cè)試系統(tǒng)(源碼+論文) 項(xiàng)目獲?。?https://gitee.com/sinonfin/algorithm-sharing 系統(tǒng)簡(jiǎn)介 本項(xiàng)目為 多功能 Web 應(yīng)用滲透測(cè)試系統(tǒng) ,包含 漏洞檢測(cè)、目錄識(shí)別、端口掃描、指紋識(shí)別、域名探測(cè)、旁站探測(cè)

    2024年02月20日
    瀏覽(21)
  • C語(yǔ)言數(shù)據(jù)結(jié)構(gòu)-----順序表(多功能動(dòng)態(tài)順序表的代碼實(shí)現(xiàn))

    C語(yǔ)言數(shù)據(jù)結(jié)構(gòu)-----順序表(多功能動(dòng)態(tài)順序表的代碼實(shí)現(xiàn))

    本篇講述了順序表的相關(guān)知識(shí),以及動(dòng)態(tài)順序表的代碼實(shí)現(xiàn)。 順序表和鏈表一般情況下都會(huì)叫他們線性表。 線性表(linear list)是n個(gè)具有相同特性的數(shù)據(jù)元素的有限序列。線性表是一種在實(shí)際中廣泛使 用的數(shù)據(jù)結(jié)構(gòu),常見(jiàn)的線性表:順序表、鏈表、棧、隊(duì)列、字符串… 線性

    2024年02月07日
    瀏覽(26)
  • Verilog語(yǔ)法學(xué)習(xí)——LV5_位拆分與運(yùn)算

    Verilog語(yǔ)法學(xué)習(xí)——LV5_位拆分與運(yùn)算

    題目來(lái)源于牛客網(wǎng) [??途W(wǎng)在線編程_Verilog篇_Verilog快速入門 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 題目描述: 現(xiàn)在輸入了一個(gè)壓縮的16位數(shù)據(jù),其實(shí)際上包含了四個(gè)數(shù)據(jù),[3:0],[7:4],[11:8],[15:12] 現(xiàn)在請(qǐng)按照sel選擇輸出四個(gè)數(shù)據(jù)的相加結(jié)果,并輸出valid_out信號(hào)

    2024年02月15日
    瀏覽(18)
  • Verilog語(yǔ)法學(xué)習(xí)——LV4_移位運(yùn)算與乘法

    Verilog語(yǔ)法學(xué)習(xí)——LV4_移位運(yùn)算與乘法

    題目來(lái)源于??途W(wǎng) [??途W(wǎng)在線編程_Verilog篇_Verilog快速入門 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 題目描述: 已知d為一個(gè)8位數(shù),請(qǐng)?jiān)诿總€(gè)時(shí)鐘周期分別輸出該數(shù)乘1/3/7/8,并輸出一個(gè)信號(hào)通知此時(shí)刻輸入的d有效(d給出的信號(hào)的上升沿表示寫入有效)

    2024年02月15日
    瀏覽(15)
  • 大數(shù)據(jù)畢設(shè)分享(含算法) 多功能 Web 應(yīng)用滲透測(cè)試系統(tǒng)(源碼+論文)

    大數(shù)據(jù)畢設(shè)分享(含算法) 多功能 Web 應(yīng)用滲透測(cè)試系統(tǒng)(源碼+論文)

    # 0 簡(jiǎn)介 今天學(xué)長(zhǎng)向大家介紹適合作為畢設(shè)的項(xiàng)目: 畢設(shè)分享 多功能 Web 應(yīng)用滲透測(cè)試系統(tǒng)(源碼+論文) 項(xiàng)目獲?。?https://gitee.com/assistant-a/project-sharing 系統(tǒng)簡(jiǎn)介 本項(xiàng)目為 多功能 Web 應(yīng)用滲透測(cè)試系統(tǒng) ,包含 漏洞檢測(cè)、目錄識(shí)別、端口掃描、指紋識(shí)別、域名探測(cè)、旁站探測(cè)

    2024年02月21日
    瀏覽(23)
  • HNU工訓(xùn)中心STC-B學(xué)習(xí)板大作業(yè)-基于OLED模塊的多功能MP4

    HNU工訓(xùn)中心STC-B學(xué)習(xí)板大作業(yè)-基于OLED模塊的多功能MP4

    主要功能在下面這張流程圖里(直接用報(bào)告的流程圖了) 下面展示一下效果(數(shù)碼管的“welcome”比較抽象) ps. 后面新加的功能(我覺(jué)得MP4應(yīng)該還具有看小說(shuō)的功能,但是小說(shuō)字太多了放不下,于是添加了一個(gè)可以背誦名人名言的功能) 四個(gè)功能的切換 聽(tīng)歌(這張動(dòng)圖有時(shí)

    2024年02月10日
    瀏覽(16)
  • Verilog語(yǔ)法學(xué)習(xí)——LV7_求兩個(gè)數(shù)的差值

    Verilog語(yǔ)法學(xué)習(xí)——LV7_求兩個(gè)數(shù)的差值

    題目來(lái)源于??途W(wǎng) [??途W(wǎng)在線編程_Verilog篇_Verilog快速入門 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 描述 根據(jù)輸入信號(hào)a,b的大小關(guān)系,求解兩個(gè)數(shù)的差值:輸入信號(hào)a,b為8bit位寬的無(wú)符號(hào)數(shù)。如果ab,則輸出a-b,如果a≤b,則輸出b-a。 接口信號(hào)圖如下:

    2024年02月15日
    瀏覽(20)
  • 計(jì)算機(jī)視覺(jué)與深度學(xué)習(xí) | Visual ChatGPT:微軟開(kāi)源視覺(jué)(圖文)聊天系統(tǒng)——圖像生成、遷移學(xué)習(xí)、邊緣檢測(cè)、顏色渲染等多功能(附代碼下載鏈接)

    計(jì)算機(jī)視覺(jué)與深度學(xué)習(xí) | Visual ChatGPT:微軟開(kāi)源視覺(jué)(圖文)聊天系統(tǒng)——圖像生成、遷移學(xué)習(xí)、邊緣檢測(cè)、顏色渲染等多功能(附代碼下載鏈接)

    ===================================================== github:https://github.com/MichaelBeechan CSDN:https://blog.csdn.net/u011344545 =====================================================

    2024年02月06日
    瀏覽(29)
  • Verilog語(yǔ)法學(xué)習(xí)——LV9_使用子模塊實(shí)現(xiàn)三輸入數(shù)的大小比較

    Verilog語(yǔ)法學(xué)習(xí)——LV9_使用子模塊實(shí)現(xiàn)三輸入數(shù)的大小比較

    題目來(lái)源于牛客網(wǎng) [??途W(wǎng)在線編程_Verilog篇_Verilog快速入門 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 描述 在數(shù)字芯片設(shè)計(jì)中,通常把完成特定功能且相對(duì)獨(dú)立的代碼編寫成子模塊,在需要的時(shí)候再在主模塊中例化使用,以提高代碼的可復(fù)用性和設(shè)計(jì)的層

    2024年02月15日
    瀏覽(28)
  • 消防多功能智慧燈桿

    消防多功能智慧燈桿

    消防多功能智慧燈桿是一種基于叁仟智慧桿并應(yīng)用了智能技術(shù)和物聯(lián)網(wǎng)技術(shù)的新一代消防基礎(chǔ)設(shè)備。它能夠?qū)崟r(shí)監(jiān)測(cè)消防設(shè)備的狀態(tài)和消防物資的儲(chǔ)備情況,并能夠自動(dòng)報(bào)警和調(diào)度消防人員。消防多功能智慧燈桿通常配備有各類消防設(shè)備,如滅火器、消防栓、AED、防護(hù)服等,

    2024年01月25日
    瀏覽(31)

覺(jué)得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請(qǐng)作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包