国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

Verilog語(yǔ)法學(xué)習(xí)——LV7_求兩個(gè)數(shù)的差值

這篇具有很好參考價(jià)值的文章主要介紹了Verilog語(yǔ)法學(xué)習(xí)——LV7_求兩個(gè)數(shù)的差值。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請(qǐng)大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

LV7_求兩個(gè)數(shù)的差值

題目來源于??途W(wǎng)

[??途W(wǎng)在線編程_Verilog篇_Verilog快速入門 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1&tab=Verilog篇&topicId=301)

題目

描述

根據(jù)輸入信號(hào)a,b的大小關(guān)系,求解兩個(gè)數(shù)的差值:輸入信號(hào)a,b為8bit位寬的無(wú)符號(hào)數(shù)。如果a>b,則輸出a-b,如果a≤b,則輸出b-a。

接口信號(hào)圖如下:

Verilog語(yǔ)法學(xué)習(xí)——LV7_求兩個(gè)數(shù)的差值,Verilog語(yǔ)法學(xué)習(xí),學(xué)習(xí),fpga開發(fā)

輸入描述:

clk:系統(tǒng)時(shí)鐘

rst_n:復(fù)位信號(hào),低電平有效

a,b:8bit位寬的無(wú)符號(hào)數(shù)

輸出描述:

c:8bit位寬的無(wú)符號(hào)數(shù)文章來源地址http://www.zghlxwxcb.cn/news/detail-615787.html

代碼

`timescale 1ns/1ns
module data_minus(
	input clk,
	input rst_n,
	input [7:0]a,
	input [7:0]b,

	output  reg [8:0]c
);
//*************code***********//
/*代碼思路: if(a>b),輸出a-b
			if(a<=b),輸出b-a
			簡(jiǎn)單的if判斷
*/
	always @(posedge clk or negedge rst_n) begin
		if(!rst_n)
			c <= 0;
		else begin
			if(a>b)
				c <= a-b;
			else if(a<=b)
				c <= b-a;
		end
	
	end
//*************code***********//
endmodule

到了這里,關(guān)于Verilog語(yǔ)法學(xué)習(xí)——LV7_求兩個(gè)數(shù)的差值的文章就介紹完了。如果您還想了解更多內(nèi)容,請(qǐng)?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場(chǎng)。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請(qǐng)注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請(qǐng)點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • Verilog語(yǔ)法學(xué)習(xí)——LV6_多功能數(shù)據(jù)處理器

    Verilog語(yǔ)法學(xué)習(xí)——LV6_多功能數(shù)據(jù)處理器

    題目來源于??途W(wǎng) [??途W(wǎng)在線編程_Verilog篇_Verilog快速入門 (nowcoder.com)](https://www.nowcoder.com/exam/oj?page=1tab=Verilog篇topicId=301) 描述 根據(jù)指示信號(hào)select的不同,對(duì)輸入信號(hào)a,b實(shí)現(xiàn)不同的運(yùn)算。輸入信號(hào)a,b為8bit有符號(hào)數(shù),當(dāng)select信號(hào)為0,輸出a;當(dāng)select信號(hào)為1,輸出b;當(dāng)select信號(hào)

    2024年02月15日
    瀏覽(21)
  • lv7 嵌入式開發(fā)-網(wǎng)絡(luò)編程開發(fā) 13 UNIX域套接字

    目錄 1 UNIX 域流式套接字 2 UNIX 域數(shù)據(jù)報(bào)套接字 UNIX 域流式套接字(UNIX domain stream socket)是一種在同一臺(tái)主機(jī)上的進(jìn)程之間進(jìn)行通信的機(jī)制。它不依賴于網(wǎng)絡(luò)協(xié)議棧,而是使用文件系統(tǒng)作為通信的基礎(chǔ)。 UNIX 域流式套接字提供可靠的、雙向的、面向連接的通信方式。與傳統(tǒng)的

    2024年02月07日
    瀏覽(22)
  • lv7 嵌入式開發(fā)-網(wǎng)絡(luò)編程開發(fā) 03 TCP/IP與五層體系結(jié)構(gòu)

    lv7 嵌入式開發(fā)-網(wǎng)絡(luò)編程開發(fā) 03 TCP/IP與五層體系結(jié)構(gòu)

    目錄 1 TCP/IP協(xié)議族體系結(jié)構(gòu) 1.1?OSI與TCP/IP 1.2?TCP/IP 的體系結(jié)構(gòu)? 1.3?TCP/IP 體系結(jié)構(gòu)的另一種表示方法 1.4?沙漏計(jì)時(shí)器形狀的 TCP/IP 協(xié)議族 2 五層協(xié)議的體系結(jié)構(gòu) 2.1 各層的主要功能? 2.2 互聯(lián)網(wǎng)中客戶-服務(wù)器工作方式 2.3?同時(shí)為多個(gè)客戶進(jìn)程提供服務(wù)? 3 練習(xí) 是事實(shí)上的國(guó)際標(biāo)

    2024年02月07日
    瀏覽(25)
  • 【FPGA Verilog開發(fā)實(shí)戰(zhàn)指南】初識(shí)Verilog HDL-基礎(chǔ)語(yǔ)法

    【FPGA Verilog開發(fā)實(shí)戰(zhàn)指南】初識(shí)Verilog HDL-基礎(chǔ)語(yǔ)法

    就是用代碼來描述硬件結(jié)構(gòu) 語(yǔ)言有VHDL與Verilog HDL Verilog HDL 是從C語(yǔ)言來的,學(xué)的快 ###例子 也叫保留字,一般是小寫 module 表示模塊的開始 endmodule 模塊的結(jié)束 模塊名 一般與.v文件的名字一致 輸入信號(hào) input 輸出信號(hào) output 既做輸入也做輸出 inout 需要一些變量和參數(shù)對(duì)輸

    2024年02月21日
    瀏覽(27)
  • 【FPGA學(xué)習(xí)記錄3-1】Verilog語(yǔ)法之Verilog的數(shù)據(jù)類型

    寫在前面 本科時(shí)學(xué)過FPGA的相關(guān)課程,因此對(duì)于Verilog相關(guān)語(yǔ)法的學(xué)習(xí)重在回顧。 1.Verilog的數(shù)據(jù)類型 Verilog 最常用的 2 種數(shù)據(jù)類型就是 線(wire)與寄存器(reg) ,其余類型可以理解為這兩種數(shù)據(jù)類型的擴(kuò)展或輔助。 1.1wire類型 wire 類型表示硬件單元之間的物理連線,由其連接

    2024年02月02日
    瀏覽(25)
  • FPGA學(xué)習(xí)筆記-知識(shí)點(diǎn)3-Verilog語(yǔ)法1

    FPGA學(xué)習(xí)筆記-知識(shí)點(diǎn)3-Verilog語(yǔ)法1

    按其功能可分為以下幾類: 1) 算術(shù)運(yùn)算符(+,-,×,/,%) 2) 賦值運(yùn)算符(=,=) 3) 關(guān)系運(yùn)算符(,,=,=) 4) 邏輯運(yùn)算符(,||,!) 5) 條件運(yùn)算符( ? :) 6) 位運(yùn)算符(,|,^,,^) 7) 移位運(yùn)算符(,) 8) 拼接運(yùn)算符({ }) 9) 其它 按其所帶操作數(shù)的個(gè)數(shù)運(yùn)算符可分為三種: 1) 單目運(yùn)算符(unary operator):可以帶一個(gè)

    2024年02月06日
    瀏覽(17)
  • 【FPGA】verilog語(yǔ)法的學(xué)習(xí)與應(yīng)用 —— 位操作 | 參數(shù)化設(shè)計(jì)

    學(xué)習(xí)新語(yǔ)法,爭(zhēng)做新青年 計(jì)數(shù)器實(shí)驗(yàn)升級(jí),讓8個(gè)LED燈每個(gè)0.5s的速率循環(huán)閃爍,流水燈ahh好久不見~ 去年光這個(gè)就把我折磨夠嗆。。我肉眼可見的脫發(fā)就是從那時(shí)候開始的。。在那兩個(gè)月我直接掉了10斤啊喂~ (沒節(jié)食、沒運(yùn)動(dòng)、沒失戀哈哈哈 產(chǎn)生0.5s周期的計(jì)數(shù)器 為了避免仿

    2024年02月11日
    瀏覽(28)
  • FPGA_學(xué)習(xí)_04_Verilog基礎(chǔ)語(yǔ)法和Modelsem仿真

    FPGA_學(xué)習(xí)_04_Verilog基礎(chǔ)語(yǔ)法和Modelsem仿真

    前言:對(duì)于以前學(xué)過C/C++/C#的作者來講,Verilog的基礎(chǔ)語(yǔ)法算是 特別簡(jiǎn)單 的。本文主要介紹Verilog的基礎(chǔ)語(yǔ)法和Modelsem仿真。 FPGA開發(fā)是以模塊為基礎(chǔ)的,每個(gè)可 綜合 的.v文件都是一個(gè)模塊,模塊由 module 和 endmodule 來聲明。在這兩個(gè)的內(nèi)部,完成模塊功能的實(shí)現(xiàn)。 在Vi

    2024年02月05日
    瀏覽(19)
  • [office] Excel中函數(shù)進(jìn)行計(jì)算兩個(gè)日期參數(shù)差值的方法 #職場(chǎng)發(fā)展#學(xué)習(xí)方法#媒體

    Excel中函數(shù)進(jìn)行計(jì)算兩個(gè)日期參數(shù)差值的方法 在excel使用中,如果想計(jì)算兩個(gè)日期參數(shù)的差值,該用什么函數(shù)和如何使用呢?今天,小編就教大家在Excel中函數(shù)進(jìn)行計(jì)算兩個(gè)日期參數(shù)差值的方法。 Excel中函數(shù)進(jìn)行計(jì)算兩個(gè)日期參數(shù)差值的步驟 在excel中計(jì)算兩個(gè)日期參數(shù)的差值,

    2024年02月20日
    瀏覽(21)
  • FPGA | Verilog基礎(chǔ)語(yǔ)法

    菜鳥教程連接 舉例(\\\"http://\\\"符號(hào)后的內(nèi)容為注釋文字): initial $dumpfile (“myfile.dump”); //指定VCD文件的名字為myfile.dump,仿真信息將記錄到此文件 可以指定某一模塊層次上的所有信號(hào),也可以單獨(dú)指定某一個(gè)信號(hào)。 典型語(yǔ)法為$dumpvar(level, module_name); 參數(shù)level為一個(gè)整數(shù),用于指

    2024年02月05日
    瀏覽(27)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請(qǐng)作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包