国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

(一)UVM驗證平臺搭建1

這篇具有很好參考價值的文章主要介紹了(一)UVM驗證平臺搭建1。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

?UVM實戰(zhàn)中實例源碼下載:https://www.hzcourse.com/web/refbook/detail/5651/229

一、環(huán)境配置

終于配置跑通?UVM實戰(zhàn)中的實例了,下面是基于linux+vcs+verdi的環(huán)境配置

1、將下載的源碼文件夾解壓后共享到虛擬機中

2、需要重新配置setup.vcs文件

uvm安裝,UVM學習,fpga開發(fā),硬件工程

原始文件:

#!/bin/csh
setenv VCS_HOME /opt/vcs/E-2011.03 
setenv UVM_HOME ~/uvm/uvm-1.1d
setenv WORK_HOME `pwd`
setenv SIM_TOOL VCS 
set path = (/opt/vcs/E-2011.03/bin ${WORK_HOME}/bin $path)

說明:

  1. 這個配置文件是基于csh的,安裝的虛擬機不一定支持,支持bash。

修改文件:

#!/bin/bash

export VCS_HOME=/opt/synopsys/vcs
export UVM_HOME=/home/host/verify/example_and_uvm_source_code/uvm-1.1d/
export WORK_HOME=/home/host/verify/example_and_uvm_source_code/puvm
export SIM_TOOL=VCS
export NOVAS_HOME=/opt/synopsys/verdi
export PATH=/opt/synopsys/vcs/linux64/bin:$PATH

說明:

  1. vcs_home:vcs的安裝路徑
  2. uvm_home:uvm庫文件的路徑
  3. work_home:執(zhí)行代碼的工作路徑
  4. sim_tool:設(shè)置使用的仿真工具是vcs
  5. novas_home:verdi的安裝路徑
  6. path:腳本的路徑
  7. 修改完后要source setup.vcs

3、run文件配置

?uvm安裝,UVM學習,fpga開發(fā),硬件工程

#!/bin/csh
if ( $SIM_TOOL == "QUESTA" ) then
vlib work
vlog -f filelist.f
vsim -sv_lib $UVM_DPI_DIR/uvm_dpi -do $WORK_HOME/bin/vsim.do -c top_tb
endif

if ( $SIM_TOOL == "VCS" ) then
vcs -sverilog $UVM_HOME/src/dpi/uvm_dpi.cc -CFLAGS -DVCS -timescale=1ns/1ps -f filelist.f 
./simv 
endif

if ( $SIM_TOOL == "NCSIM" ) then
ncverilog +sv -f filelist.f -licqueue -timescale 1ns/1ps -uvm -uvmhome $UVM_HOME 
endif

?說明:

  1. sim_tool:對應不同的仿真工具,在setup.vcs中設(shè)置的是vcs
  2. 但不能直接使用這個run文件,需要修改
  3. 使用Makefile來運行

4、Makefile

使用makefile用著更習慣

UVM_HOME = /home/host/verify/example_and_uvm_source_code/uvm-1.1d/

elab:
	vcs -top top_tb -full64 -lca -sverilog -debug_access+all -timescale=1ps/1ps -sim_res=1ps -kdb \
    $(UVM_HOME)/src/dpi/uvm_dpi.cc -CFLAGS -DVCS \
    +incdir+$(UVM_HOME)/src $(UVM_HOME)/src/uvm.sv \
    -f filelist.f \
	-LDFLAGS"-Wl,--rpth,$(NOVAS_HOME)/share/PLI/VCS/LINUX64"
run:
	./simv &
verdi:
    verdi -ssf ./tb.fsdb &
clean:
	\rm -rf AN.DB
	\rm -rf *simv*
	\rm -rf DVEfiles
	\rm -rf ucli.key

all: clean elab run

說明:

  • 設(shè)置uvm_home的路徑
  • elab中要把UVM的庫文件incdir進來,設(shè)置了top頂層是top_tb
  • 若UVM的庫文件就在VCS的安裝路徑下面可以直接用-ntb_opts uvm
  • 需要修改filelist和把dut.sv包含到對應的路徑中
  • 在原始的top_tb.sv文件中加入下列initial代碼
  • 先刪掉原本的run文件,執(zhí)行make all 再make verdi 即可以用verdi看波形
initial begin
	$fsdbDumpfile("tb.fsdb");
	$fsdbDumpvars;
end

(修改后的)2.2.1代碼運行結(jié)果:

uvm安裝,UVM學習,fpga開發(fā),硬件工程文章來源地址http://www.zghlxwxcb.cn/news/detail-524162.html

到了這里,關(guān)于(一)UVM驗證平臺搭建1的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務,不擁有所有權(quán),不承擔相關(guān)法律責任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實不符,請點擊違法舉報進行投訴反饋,一經(jīng)查實,立即刪除!

領(lǐng)支付寶紅包贊助服務器費用

相關(guān)文章

  • 詼諧有趣的《UVM實戰(zhàn)》筆記——第二章 一個簡單的UVM驗證平臺

    詼諧有趣的《UVM實戰(zhàn)》筆記——第二章 一個簡單的UVM驗證平臺

    某天白天在地鐵上聽鬼故事,結(jié)果晚上要睡覺時,故事里的情節(jié)都歷歷在目,給我雞皮疙瘩起的~ 不過我倒是沒有嚇得睡不著,而是轉(zhuǎn)念一想,為啥我學知識忘得很快,隨便聽的鬼故事卻記得這么清楚咧? 那如果能像聽鬼故事那樣去學知識,是不是可以記得更牢固呢? 經(jīng)過一

    2024年02月08日
    瀏覽(28)
  • 【UVM】-- UVM測試平臺搭建與調(diào)試

    【UVM】-- UVM測試平臺搭建與調(diào)試

    《UVM》實戰(zhàn)中這個DUT的功能比較簡單: 在clk的上升沿,且rst不為低電平的時候,將輸入的信號直接發(fā)送出去,并且輸出輸入的使能信號; 在clk上升沿,如果rst為低電平,復位輸出信號和使能信號 clk 時鐘輸入信號 rst_n 復位輸入信號 ?rxd 8bit輸入數(shù)據(jù)信號 rx_dv 輸入使能信號

    2024年02月15日
    瀏覽(21)
  • (UVM驗證學習13) apb和ahb的另外一些筆記

    APB slave端的要求比較靈活: 對于總線寫入到slave的傳輸行為,寫入的數(shù)據(jù)data既可以在PSEL為高時,在CLK的上升沿鎖存;也可以當PSEL為高時,在PENABLE的上升沿鎖存。區(qū)別就是前者會早一點,PENABLE要在CLK上升沿后才會被驅(qū)動拉高。 對于總線的讀slave行為,數(shù)據(jù)只要在PWRITE=0,然后

    2024年02月08日
    瀏覽(22)
  • 基于vcs+uvm+xilinx ip的仿真平臺的半自動化搭建

    基于vcs+uvm+xilinx ip的仿真平臺的半自動化搭建

    系 統(tǒng):ubuntu 18.04 仿真平臺:vcs_2018.09-SP2 開發(fā)平臺:vivado 2019.2 本文的主要目的是自動化搭建基于vcs+uvm+xilinx ip的仿真平臺,節(jié)省平臺搭建的時間與精力。 拿到一個項目,一般的平臺搭建的步驟:去網(wǎng)上找一個makefile腳本(或者使用原項目腳本),修改相應的軟件路徑,添加

    2024年01月18日
    瀏覽(20)
  • 基于UVM+VCS基本平臺,運行《UVM實戰(zhàn)》中的第一個UVM代碼并輸出結(jié)果

    基于UVM+VCS基本平臺,運行《UVM實戰(zhàn)》中的第一個UVM代碼并輸出結(jié)果

    (1)下載puvm.tar.gz和uvm-1.1d.tar.gz壓縮包 ,使用分別使用tar zxvf puvm.tar.gz和 tar zxvf uvm-1.1d.tar.gz解壓到當前目錄下 (2)找到puvm-src-ch2-dut-dut.sv文件,將該文件拷貝到puvm-src-ch2-section2.2-2.2.1目錄下 (3)打開puvm-src-ch2-section2.2-2.2.1-Makefile.vcs文件并按照下面的方法進行編輯,編輯完后

    2024年02月09日
    瀏覽(26)
  • UVM驗證方法學_phase機制

    UVM驗證方法學_phase機制

    phase機制是uvm最重要的幾個機制之一,它使得uvm的運行仿真層次化,使得各種例化先后次序正確,保證了驗證環(huán)境與DUT的正確交互。 目錄 一、phase機制概述 二、phase執(zhí)行順序 (1)時間順序 (2)空間順序 三、phase機制中uvm樹的遍歷 四、phase的super 五、phase的跳轉(zhuǎn) 六、phase的調(diào)

    2024年02月13日
    瀏覽(24)
  • UVM驗證方法學_config_db機制

    UVM驗證方法學_config_db機制

    config_db機制是uvm中很重要的機制之一。由于驗證平臺的結(jié)構(gòu)往往會比較復雜,其中的組件如果要進行互相通信和參數(shù)傳遞,則需要一種高效且穩(wěn)妥的辦法,這就是config_db機制的意義。? 目錄 一、config_db機制概述 (1)路徑 (2)set和get (3)省略get函數(shù) 二、跨層次多重設(shè)置 三

    2024年02月05日
    瀏覽(20)
  • 【從零開始學習 UVM】6.4、UVM 激勵產(chǎn)生 —— uvm_do 宏詳解

    【從零開始學習 UVM】6.4、UVM 激勵產(chǎn)生 —— uvm_do 宏詳解

    請注意, start 方法的 call_pre_post 字段設(shè)置為0, 這意味著在使用這些序列宏時,序列的pre_body和post_body方法將永遠不會被調(diào)用 。否則,執(zhí)行流程與通過start方法執(zhí)行序列時類似。 使用序列宏的優(yōu)點是可以使用內(nèi)聯(lián)約束,但是您失去了控制執(zhí)行sequence中 pre_body 和 post_body 方法調(diào)

    2023年04月08日
    瀏覽(21)
  • 【從零開始學習 UVM】9.2、UVM Config DB —— UVM config database 詳解【重要】

    【從零開始學習 UVM】9.2、UVM Config DB —— UVM config database 詳解【重要】

    UVM有一個內(nèi)部數(shù)據(jù)庫表,可以將值存儲在給定名稱下,并且稍后可以由其他TestBench組件檢索。 uvm_config_db 類提供了一個方便的接口,位于 uvm_resource_db 之上,以簡化用于uvm_component實例的基本接口。 請注意,所有函數(shù)都是靜態(tài)的,并且必須使用 :: 作用域運算符調(diào)用 。 這樣的配

    2023年04月09日
    瀏覽(19)
  • 【從零開始學習 UVM】8.2、Reporting Infrastructure —— uvm_printer 詳解

    在一個隨機驗證環(huán)境中,數(shù)據(jù)對象不斷地由不同的組件生成和操作, 如果能夠顯示對象的內(nèi)容,則調(diào)試會變得更加容易 。 傳統(tǒng)上,這是通過將值打印到日志文件或屏幕上的 $display 語句和自定義打印函數(shù)來完成的。

    2023年04月09日
    瀏覽(34)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包