国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

【FPGA】EDA技術(shù)綜合設(shè)計(jì) 交通燈設(shè)計(jì)

這篇具有很好參考價值的文章主要介紹了【FPGA】EDA技術(shù)綜合設(shè)計(jì) 交通燈設(shè)計(jì)。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點(diǎn)擊"舉報違法"按鈕提交疑問。

交通燈設(shè)計(jì):

1、設(shè)計(jì)原理
(1)對項(xiàng)目進(jìn)行模塊劃分、對各模塊的功能及其端口進(jìn)行說明。
①分頻器部分
降低實(shí)驗(yàn)板固有頻率,分出頻率用來計(jì)數(shù)和數(shù)碼管動態(tài)掃描。
②狀態(tài)機(jī)部分
0,1,2,3四個基礎(chǔ)狀態(tài)以及進(jìn)一步完善后的檢修和行人通行狀態(tài)。
③LED燈與數(shù)碼管顯示部分
LED燈模擬東西南北方向交通燈的狀況,數(shù)碼管顯示當(dāng)前狀態(tài)剩余時間。
交通燈eda,狀態(tài)機(jī),EDA,fpga開發(fā)

2、設(shè)計(jì)源文件
①主模塊

`timescale 1ns / 1ps
module jiaotong(
    input clk_100MHZ,
    input rst,
    input stby,//檢修
    output [7:0]dsmg,  //數(shù)碼管段選
    output reg [1:0]wsmg,  //數(shù)碼管位選
    output reg [5:0]led     //led燈:J3 J2 K2(東西紅黃綠),K1 H6 H5(南北紅黃綠)
    );
wire clk_1Hz;
wire clk_400Hz;
fenpin u1(clk_100MHZ,32'd50000000,clk_1Hz);   
fenpin u2(clk_100MHZ,32'd125000,clk_400Hz);

integer second;
integer shijian;  //秒數(shù)計(jì)數(shù)上限
reg [2:0]state;   //狀態(tài)
    always @(state)   //狀態(tài)定義
    begin
        case (state)
            0:begin led=6'b001100;shijian=40; end    //state=0:001100,東西綠燈亮,南北紅燈亮,40s
            1:begin led=6'b010100;shijian=5; end     //state=1:010100,東西黃燈亮,南北紅燈亮, 5s
            2:begin led=6'b100001;shijian=40; end
            3:begin led=6'b100010;shijian=5; end
            4:begin led=6'b010010;end                   //state=4:010010,東西黃燈亮,南北黃燈亮
            5:begin led=6'b000000;shijian=3;end     //state=5:000000,燈滅,延時3s   
        endcase 
    end
 always @(posedge clk_1Hz )
        begin
        begin
            if( rst == 1)  
            begin
                second = 0; 
                state = 0;
              end
            else if (rst == 0 && stby == 1)   
                state = 4;  
            else if (rst == 0 && stby==0 && state == 4 ) 
                second = 0; 
          end  
            
            if (state != 4) 
                second = second + 1;
            if (shijian == second) 
                second = 0;
            if (second==0)
                begin
                    case (state)
                    0,1,2 : state <= state +1 ;  //狀態(tài)轉(zhuǎn)換0-1-2-3-4-5-0
                        3 : state <= 0;
                        4 : state <= 5;
                        5 : state <= 0;
                    endcase
                 end
        end
reg [2:0]wei;    
reg [3:0]num;   
shumaguang u3(num,dsmg);
//數(shù)碼管顯示
    always @(posedge clk_400Hz)
        begin
            if(!wei)    
                wsmg=8'b00000001;
            else   
                wsmg = wsmg << 1;
            case(wei)
                0:num=(shijian-second)%10;  //數(shù)碼管個位
                1:num=(shijian-second)/10;  //數(shù)碼管十位
                2:num=10;
                3:num=10;
                4:num=10;
                5:num=10;
                6:num=10;
                7:num=10;
            endcase     
            wei = wei + 1'b1;             
        end
endmodule

②分頻器模塊

module fenpin(
input clk_100MHZ,
input[31:0] div,
output reg clk_Hz
    );
integer clk_cnt; //計(jì)數(shù)器的值     
always @(posedge clk_100MHZ)
       begin
         if(clk_cnt==div)//判斷計(jì)數(shù)器的值是否計(jì)數(shù)到div   
         begin 
         clk_cnt <= 1'b0; 
         clk_Hz <= ~clk_Hz;end  //如果計(jì)數(shù)到div,計(jì)數(shù)器的值清零,同時clk_Hz的電平翻轉(zhuǎn)一次,即分頻后頻率100MHz/div/2
         else
         clk_cnt <= clk_cnt + 1'b1;//計(jì)數(shù)沒有到div,計(jì)數(shù)值加1   
       end 
endmodule

③數(shù)碼管模塊

module shumaguang(
    input  [3:0]num,
    output reg [7:0]dsmg
    );
    always @(*)
        case (num)
            0:dsmg <= 8'b00111111;
            1:dsmg <= 8'b00000110;
            2:dsmg <= 8'b01011011;
            3:dsmg <= 8'b01001111;
            4:dsmg <= 8'b01100110;
            5:dsmg <= 8'b01101101;
            6:dsmg <= 8'b01111101;
            7:dsmg <= 8'b00000111;
            8:dsmg <= 8'b01111111;
            9:dsmg <= 8'b01101111;
            10:dsmg <= 0;
        endcase
endmodule

3、仿真測試文件

`timescale 1ns / 1ps
module sim_jiaotongdeng(  );
reg clk;
reg rst;
reg stby;//檢修
wire[5:0]led;
jiaotong a1(.clk_100MHZ(clk),.rst(rst),.stby(stby),.led(led));
parameter PERIOD = 10;   //固定計(jì)數(shù)周期
always begin
clk = 0;
#(PERIOD/2) clk = 1; 
#(PERIOD/2);
end
initial begin 
    clk = 0; 
    rst = 1;
    stby = 0;
    #100;
    rst = 0;
    #100; //延時 
    stby = 1;
end
endmodule

仿真結(jié)果
交通燈eda,狀態(tài)機(jī),EDA,fpga開發(fā)
實(shí)驗(yàn)板部分結(jié)果
交通燈eda,狀態(tài)機(jī),EDA,fpga開發(fā)
交通燈eda,狀態(tài)機(jī),EDA,fpga開發(fā)
交通燈eda,狀態(tài)機(jī),EDA,fpga開發(fā)文章來源地址http://www.zghlxwxcb.cn/news/detail-521828.html

到了這里,關(guān)于【FPGA】EDA技術(shù)綜合設(shè)計(jì) 交通燈設(shè)計(jì)的文章就介紹完了。如果您還想了解更多內(nèi)容,請?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請點(diǎn)擊違法舉報進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • FPGA實(shí)驗(yàn)四:交通燈控制器設(shè)計(jì)

    目錄 一、實(shí)驗(yàn)?zāi)康?二、設(shè)計(jì)要求 三、實(shí)驗(yàn)代碼 1.design?source文件代碼

    2024年02月13日
    瀏覽(23)
  • 基于FPGA的交通燈電路設(shè)計(jì)(含程序)

    基于FPGA的交通燈電路設(shè)計(jì)(含程序)

    目錄 題目要求 設(shè)計(jì)方法 部分程序設(shè)計(jì) (1) 以車為主體,綠燈、黃燈、紅燈、綠燈依次點(diǎn)亮; (2)十字路口,具有兩組紅綠燈; (3)采用倒計(jì)時顯示剩余時間,數(shù)碼管動態(tài)顯示; (4)紅綠燈時間按鍵可調(diào)。 用六位數(shù)碼管顯示,靠左和靠右兩位數(shù)碼管分別顯示東西和南北

    2024年02月11日
    瀏覽(27)
  • FPGA智能交通燈控制器系統(tǒng)系統(tǒng)設(shè)計(jì)

    FPGA智能交通燈控制器系統(tǒng)系統(tǒng)設(shè)計(jì)

    把由5OM的有源晶振產(chǎn)生的現(xiàn)場可編程邏輯器件FPGA 的系統(tǒng)時鐘輸入到分頻模塊,經(jīng)分頻模塊分頻產(chǎn)生頻率為1Hz的時鐘脈沖,作為控制定時模塊、控制模塊、緊急模塊、計(jì)數(shù)模塊的時鐘信號,然后再由定時模塊來控制緊急模塊和控制模塊,按照交通管理規(guī)則控制交通工作狀態(tài)的

    2024年02月04日
    瀏覽(27)
  • 基于FPGA的交通燈設(shè)計(jì)(加強(qiáng)版,涵蓋倒計(jì)時)

    基于FPGA的交通燈設(shè)計(jì)(加強(qiáng)版,涵蓋倒計(jì)時)

    ##一、實(shí)驗(yàn)任務(wù)要求 ? 1)實(shí)現(xiàn)一交通十字路口處紅綠燈的基本定時控制功能,要求東西方向燈色循環(huán)為綠燈45秒,黃燈5秒,左拐燈15秒,黃燈5秒,紅燈40秒,黃燈5秒;南北方向燈色循環(huán)為紅燈65秒,黃燈5秒,綠燈20秒,黃燈5秒,左拐燈15秒,黃燈5秒。 2)實(shí)現(xiàn)東西方向和南北方

    2024年02月04日
    瀏覽(25)
  • 電子技術(shù)課程設(shè)計(jì)—交通燈控制系統(tǒng)設(shè)計(jì)

    電子技術(shù)課程設(shè)計(jì)—交通燈控制系統(tǒng)設(shè)計(jì)

    目錄 摘要 1 設(shè)計(jì)目的及要求 1.1設(shè)計(jì)目的 1.2 題目與要求 2 總體方案設(shè)計(jì) 2.1 提出原理方案 方案(1):基于同步模型的電路設(shè)計(jì) 方案(2):基于異步模型的加法計(jì)數(shù)電路設(shè)計(jì) 方案(3):基于異步模型的減法計(jì)數(shù)電路設(shè)計(jì) 2.2 原理方案的確定 3 單元電路設(shè)計(jì)及仿真 3.1 秒脈沖電

    2024年02月03日
    瀏覽(29)
  • FPGA學(xué)習(xí)心得分享——交通燈(EGO1)

    FPGA學(xué)習(xí)心得分享——交通燈(EGO1)

    ? 學(xué)期快結(jié)束了,學(xué)了一個學(xué)期FPGA課程,通過自己思索加上老師的指導(dǎo)我完成了自己第一份交通燈的作業(yè),我希望把經(jīng)驗(yàn)分享給學(xué)習(xí)Verilog遇到困難的同學(xué),更希望大家都能順利完成自己的作業(yè)。 ??燈能左右改變方向,作品能夠模擬紅、黃、綠、左右轉(zhuǎn)彎燈等形式,按鍵可以

    2024年02月07日
    瀏覽(24)
  • 【EDA課程設(shè)計(jì)】FPGA交通信號燈的設(shè)計(jì)(含動畫視頻、超詳細(xì)思路/步驟分析、完整代碼與效果詳解)

    【EDA課程設(shè)計(jì)】FPGA交通信號燈的設(shè)計(jì)(含動畫視頻、超詳細(xì)思路/步驟分析、完整代碼與效果詳解)

    ?你好啊,我是 “ 怪 ” ,是一名在校大學(xué)生哦。 ??主頁鏈接:怪的個人博客主頁 ??博文主更方向?yàn)椋?課程學(xué)習(xí)知識、作業(yè)題解、期末備考。 隨著專業(yè)的深入會越來越廣哦…一起期待。 ??一個“不想讓我曾沒有做好的也成為你的遺憾”的博主。 ??很高興與你相遇,

    2023年04月08日
    瀏覽(22)
  • 基于高云FPGA開發(fā)板的十字路口交通燈

    基于高云FPGA開發(fā)板的十字路口交通燈

    結(jié)合高云MiniStar_Nano EDA開發(fā)板,完成設(shè)計(jì)十字路口交通信號燈控制系統(tǒng)。 1.要求該交通燈為三色燈控制器,紅燈亮表示禁止通行,綠燈亮表示允許通行,黃燈亮表示要求壓線車輛快速穿越。主干道和次干道燈的亮滅時序按照交通規(guī)則設(shè)置。 2.可以調(diào)整主干道和次干道綠燈和紅

    2024年01月19日
    瀏覽(118)
  • 單片機(jī)8051設(shè)計(jì)交通燈

    單片機(jī)8051設(shè)計(jì)交通燈

    目錄 一、功能要求 要求實(shí)現(xiàn)的功能 二、設(shè)計(jì)方案 1.系統(tǒng)時鐘 2.?紅綠燈時長及夜間模式時間段的存儲 3.緊急情況設(shè)計(jì) 4.夜間模式設(shè)計(jì) 5.夜間行人過路設(shè)計(jì) 6.系統(tǒng)時鐘及系統(tǒng)參數(shù)設(shè)置顯示 7.數(shù)碼管顯示倒計(jì)時 8.LED顯示紅綠燈 三、系統(tǒng)硬件設(shè)計(jì) 1.數(shù)碼管顯示 2.矩陣鍵盤 3.蜂鳴器

    2024年01月17日
    瀏覽(31)
  • 單片機(jī)交通燈設(shè)計(jì)實(shí)例

    目錄 一、初步設(shè)計(jì) 二、實(shí)現(xiàn)過程 三、實(shí)現(xiàn)效果 一、初步設(shè)計(jì) 在Profession中設(shè)計(jì)一個交通燈的仿真圖,結(jié)合Keil編寫c語言代碼實(shí)現(xiàn)十字路口的交通燈變化。設(shè)計(jì)的交通燈主要變化有如下五種情況:(可根據(jù)自身的交通燈變化情況進(jìn)行相應(yīng)的代碼修改) 第一種情況:東西紅燈,南

    2024年02月07日
    瀏覽(27)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包