国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫

這篇具有很好參考價(jià)值的文章主要介紹了Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫。希望對大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

一、原因

由于項(xiàng)目需要,整個(gè)工程需要在100kHz的時(shí)鐘下運(yùn)行,F(xiàn)PGA的clk:50MHz

二、方案分析

  1. 采用IP核得到分頻時(shí)鐘

clocking wizard

Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫

問題:MMCM和PLL都不能實(shí)現(xiàn)分頻到100KHz這么低的頻率

MMCM最小頻率4.687MHz

PLL最小頻率6.25MHz

Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫
  1. 自己寫一個(gè)簡單的分頻模塊

分頻模塊如下:

module divi_fre #(parameter DIVNUM=500,parameter WIDTH=9)(
input clk,
input rst_n,
output reg divi_clk
    );
reg [WIDTH-1:0] counter;
always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        counter <= 'd0; 
    end
    else begin
        counter <= counter + 1'b1;
        if(counter==DIVNUM/2-1)
            counter <= 'd0;
    end

end
always @(posedge clk or negedge rst_n)begin
    if(!rst_n)begin
        divi_clk <= 1'b0;
    end
    else begin
        if(counter == DIVNUM/2-1)begin
            divi_clk <= ~divi_clk;
        end
    end
end
    
endmodule

問題:自己寫的分頻模塊直接使用是不可以的,需要在約束文件中進(jìn)行時(shí)序約束

  1. 自寫分頻模塊時(shí)鐘時(shí)序約束

(1)打開implemented Design

查看分頻模塊的原理圖

Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫
Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫
Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫

找到輸出divi_clk

Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫
Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫

可以看到divi_clk_reg寄存器的C端口連接的是輸入CLK(50MHz),Q端口連接的是divi_clk(100KHz)

(2)約束文件編寫

create_clock -period 20.000 -name clk1 [get_ports clk]
create_generated_clock -name clk2 -source [get_pins u_divi_fre/divi_clk_reg/C] -divide_by 500 [get_pins u_divi_fre/divi_clk_reg/Q]
  1. clk1對應(yīng)50MHz

20ns--->50MHz, get_ports對應(yīng)clk

注:clk1和clk2只是隨意取的名字,沒有影響

  1. clk2對應(yīng)100kHz

-divide_by后跟的是計(jì)算得到的分頻值,50_000_000/100_000=500

-source后跟輸入時(shí)鐘,上面說到了divi_clk_reg寄存器的C端口連接的是輸入CLK,注意這個(gè)定位到寄存器的時(shí)序約束需要從頂層一層一層傳下來到管腳

[get_pins u_divi_fre/divi_clk_reg/Q]就輸出的divi_clk了

其實(shí)應(yīng)該直接get_ports到clk和divi也是可以的,但是因?yàn)槲耶?dāng)時(shí)沒這么寫,后面改改文章來源地址http://www.zghlxwxcb.cn/news/detail-469743.html

到了這里,關(guān)于Vivado自寫分頻模塊的分頻時(shí)鐘的約束條件編寫的文章就介紹完了。如果您還想了解更多內(nèi)容,請?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • fpga時(shí)鐘分頻——奇數(shù)分頻

    fpga時(shí)鐘分頻——奇數(shù)分頻

    相比偶數(shù)分頻,奇數(shù)分頻相對復(fù)雜,下面我總結(jié)一下如何用verilog實(shí)現(xiàn)。以N(奇數(shù))為例。 總結(jié)如下: a. 上升沿計(jì)數(shù)器和信號寄存器 : 設(shè)置一個(gè)計(jì)數(shù)長度為N的上升沿計(jì)數(shù)器(pos_cnt),并且設(shè)置一個(gè)信號寄存器(pos_clk)。 當(dāng)上升沿計(jì)數(shù)器計(jì)數(shù)到時(shí),信號寄存器翻轉(zhuǎn)。 當(dāng)上升沿計(jì)

    2024年04月17日
    瀏覽(38)
  • 時(shí)鐘分頻電路設(shè)計(jì)--verilog(2分頻、3分頻、4分頻)

    時(shí)鐘分頻電路設(shè)計(jì)--verilog(2分頻、3分頻、4分頻)

    module divider( input clk, input resetn, output reg clk_d2, output reg clk_d3_pos, output reg clk_d3_neg, output clk_d3, //reg型不能assign賦值? output reg clk_d4 ); reg [1:0]counter; reg [1:0]counter_3; always@(posedge clk or negedge resetn)begin //4分頻計(jì)數(shù)器模塊 if(~resetn) counter = 2’b0; else if(counter = 2’b11) counter = counter + 1’

    2024年02月06日
    瀏覽(22)
  • 分頻時(shí)鐘、使能時(shí)鐘、門控時(shí)鐘的概念和使用

    分頻時(shí)鐘、使能時(shí)鐘、門控時(shí)鐘的概念和使用

    ????????FPGA的時(shí)鐘和時(shí)序以及功能息息相關(guān),下面將介紹分頻時(shí)鐘,使能時(shí)鐘以及門控時(shí)鐘。 ? ? ? ? 有些時(shí)候設(shè)計(jì)需要將主時(shí)鐘進(jìn)行分頻以降低頻率使用,對于有足夠PLL和MMCM資源的FPGA,可以使用PLL或MMCM進(jìn)行分頻,但是沒有這些資源的FPGA要想降低時(shí)鐘頻率就得靠邏輯來

    2024年02月12日
    瀏覽(77)
  • Verilog 時(shí)鐘分頻設(shè)計(jì)

    Verilog 時(shí)鐘分頻設(shè)計(jì)

    將觸發(fā)器的反向輸出端接到觸發(fā)器的輸入,可以構(gòu)成簡單二分頻電路。 在此基礎(chǔ)上,將二分頻電路進(jìn)行級聯(lián)可以構(gòu)成四分頻,八分頻電路。電路如下圖所示: ? 對于任意偶數(shù)分頻,或者系數(shù)較大的偶數(shù)分頻,可以使用計(jì)數(shù)器循環(huán)計(jì)數(shù)來實(shí)現(xiàn)分頻。當(dāng)計(jì)數(shù)周期達(dá)到N/2(N為分頻

    2024年02月11日
    瀏覽(22)
  • 時(shí)鐘分頻器

    時(shí)鐘分頻器

    8倍時(shí)鐘分頻器是一種電路或設(shè)備,用于將輸入時(shí)鐘信號的頻率分成原來的1/8。它可以在數(shù)字電子系統(tǒng)中用于將高頻時(shí)鐘信號降低到較低的頻率,以滿足特定的系統(tǒng)需求。 在這個(gè)電路中,CLK是輸入的時(shí)鐘信號,CLK_OUT是輸出的時(shí)鐘信號。通過適當(dāng)?shù)碾娐吩O(shè)計(jì),8倍時(shí)鐘分頻器將輸

    2024年02月16日
    瀏覽(52)
  • 如何實(shí)現(xiàn)時(shí)鐘信號分頻?

    如何實(shí)現(xiàn)時(shí)鐘信號分頻?

    ? ? ? ? 在進(jìn)行數(shù)字電路實(shí)驗(yàn)時(shí),經(jīng)常需要對時(shí)鐘信號進(jìn)行分頻,以實(shí)現(xiàn)輸出不同頻率的時(shí)鐘信號。 ????????以下題為例:要求將50MHz的時(shí)鐘信號進(jìn)行分頻,產(chǎn)生1MHz的時(shí)鐘信號。其Verilog描述如下:? ? ? ? ????????首先,精確理解一下50MHz的時(shí)鐘頻率究竟是什么概念?

    2024年02月11日
    瀏覽(14)
  • FPGA代碼實(shí)現(xiàn)分頻和pll分頻后的時(shí)鐘的使用

    FPGA代碼實(shí)現(xiàn)分頻和pll分頻后的時(shí)鐘的使用

    1、代碼實(shí)現(xiàn)的分頻時(shí)鐘 假如 clk_out 輸出信號是我們想要的分頻后的信號,然后很多人會直接把這個(gè)信號當(dāng)作新的低頻時(shí)鐘來使用,并實(shí)現(xiàn)了自己想要的功能。雖然最終實(shí)現(xiàn)的功能是成功的,但往往忽略了一些隱患的存在,這種做法所衍生的潛在問題在低速系統(tǒng)中不易察覺,

    2024年02月05日
    瀏覽(28)
  • Verilog-實(shí)現(xiàn)時(shí)鐘分頻(1KHZ、奇、偶分頻,占空比為50%)

    Verilog-實(shí)現(xiàn)時(shí)鐘分頻(1KHZ、奇、偶分頻,占空比為50%)

    代碼如下 1khz分頻代碼 1khz分頻-testbench 仿真圖如下 通過計(jì)數(shù)器實(shí)現(xiàn),進(jìn)行N倍偶數(shù)分頻,通過時(shí)鐘觸發(fā)計(jì)數(shù)器計(jì)數(shù),當(dāng)計(jì)數(shù)器從0計(jì)數(shù)到N/2-1時(shí),輸出時(shí)鐘進(jìn)行翻轉(zhuǎn),以此循環(huán)下去。(占空比為50%) ,以下代碼實(shí)現(xiàn)8分頻,可根據(jù)實(shí)際需求改變參數(shù)輸出需要的時(shí)鐘。 代碼如下 偶

    2024年02月08日
    瀏覽(21)
  • 「Verilog學(xué)習(xí)筆記」時(shí)鐘分頻(偶數(shù))

    「Verilog學(xué)習(xí)筆記」時(shí)鐘分頻(偶數(shù))

    專欄前言 本專欄的內(nèi)容主要是記錄本人學(xué)習(xí)Verilog過程中的一些知識點(diǎn),刷題網(wǎng)站用的是??途W(wǎng)

    2024年02月03日
    瀏覽(42)
  • 幾種常用時(shí)鐘分頻實(shí)現(xiàn)方法

    幾種常用時(shí)鐘分頻實(shí)現(xiàn)方法

    在我們學(xué)習(xí)中,常常需要對時(shí)鐘進(jìn)行分頻處理,本文將介紹幾種常用分頻方法。 一、2的整數(shù)次冪分頻 這種分頻很簡單,只需要設(shè)置一個(gè)計(jì)數(shù)器,對計(jì)數(shù)器進(jìn)行計(jì)數(shù),計(jì)數(shù)器的第i位則對應(yīng)的2的i-1次冪分頻。此方法適用于占空比為1/2,如果占空比不為1/2,則可用下面講述的偶分

    2024年02月12日
    瀏覽(14)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包