国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

Xilinx FPGA PCIE接口調(diào)試

這篇具有很好參考價(jià)值的文章主要介紹了Xilinx FPGA PCIE接口調(diào)試。希望對大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

????????關(guān)于在linxu環(huán)境下Xilinx FPGA PCIE的接口調(diào)試從中遇到了幾個(gè)問題,第一個(gè)就是時(shí)鐘接口的選擇,還有一個(gè)就是上位機(jī)如何識(shí)別XDMA;

??????? 操作系統(tǒng)環(huán)境:Linux

??????? 板卡:兩款開發(fā)板VC707、KCU105

??????? 上位機(jī)環(huán)境準(zhǔn)備:從Xilinx官網(wǎng)下載linux環(huán)境的XDMA驅(qū)動(dòng),并編譯安裝好;

一、PCIE時(shí)鐘接口

?????? 1. 從VC707的pcie例程中可以看到,開發(fā)板自帶的金手指的時(shí)鐘通過

?????? 在約束文件中set_property LOC IBUFDS_GTE2_X1Y5 [get_cells refclk_ibuf_0]規(guī)定好了通道,在TOP文件中用

?IBUFDS_GTE2?????? refclk_ibuf_0???????? (.O(sys_clk_0), .ODIV2(), .I(sys_clk_p_0), .CEB(1'b0), .IB(sys_clk_n_0));

????????源語一次即可,這里的X1Y5是固定到了AB7、AB8管腳,如下圖所示,AB7、AB8下方就是refclk,用鼠標(biāo)放置在該buf上,就會(huì)顯示其編號(hào)為X1Y5;

Xilinx FPGA PCIE接口調(diào)試

?????????2.現(xiàn)在VC707的FMC接口引出PCIE管腳,對應(yīng)的時(shí)鐘約束管腳找了官方的各種手冊都沒有找到,后來在open systhesized design的版圖中,能找到管腳對應(yīng)的refclk_ibuf的編號(hào);當(dāng)管腳選擇為E9,E10時(shí),對應(yīng)的refclk為X1Y10;

Xilinx FPGA PCIE接口調(diào)試

? 3.KCU105的時(shí)鐘管腳與VC707的約束辦法以及源語方式又不一樣,在約束文件中可以用

???? set_property LOC H6 [get_ports sys_clk_p]

???? create_clock -name sys_clk -period 10 [get_ports sys_clk_p]

???? 在top文件中,用下述源語描述即可;

? ?? IBUFDS_GTE3 # (.REFCLK_HROW_CK_SEL(2'b00)) refclk_ibuf (.O(sys_clk_gt), .ODIV2( sys_clk ), .I(sys_clk_p), .CEB(1'b0), .IB(sys_clk_n));

?????? 若是要換成FMC的pcie管腳,在約束文件中將對應(yīng)的時(shí)鐘管腳更換即可;

二、如何識(shí)別XDMA

??????? 除了要使用XDMA這個(gè)IP,上位機(jī)要識(shí)別XDMA,還需要reg_map;

?文章來源地址http://www.zghlxwxcb.cn/news/detail-446993.html

?

?

?

到了這里,關(guān)于Xilinx FPGA PCIE接口調(diào)試的文章就介紹完了。如果您還想了解更多內(nèi)容,請?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • 《FPGA調(diào)試記錄》Xilinx 7series FPGA 萬兆網(wǎng)UDP

    《FPGA調(diào)試記錄》Xilinx 7series FPGA 萬兆網(wǎng)UDP

    第一次在Xilinx 7series FPGA上實(shí)現(xiàn)萬兆網(wǎng)UDP設(shè)計(jì)。具體的實(shí)現(xiàn)思路參考米聯(lián)客的實(shí)現(xiàn)方案,但是由于米聯(lián)客的udp協(xié)議棧只提供了網(wǎng)表文件,所以對于他們的內(nèi)容沒有深究,只是用來作為前期鏈路通斷的驗(yàn)證方案。 建議初次上板實(shí)現(xiàn)時(shí)最好先想辦法驗(yàn)證一下硬件,防止硬件有問題

    2024年02月03日
    瀏覽(19)
  • FPGA PCIE接口的Linux DMA Engine驅(qū)動(dòng)

    FPGA PCIE接口的Linux DMA Engine驅(qū)動(dòng)

    英創(chuàng)嵌入式主板,如ESM7000系列、ESM8000系列等,均可配置標(biāo)準(zhǔn)的PCIE×1高速接口。連接NVMe模塊作高速大容量數(shù)據(jù)存儲(chǔ)、連接多通道高速網(wǎng)絡(luò)接口模塊都是PCIE接口的典型應(yīng)用。此外,對于工控領(lǐng)域中的高速數(shù)據(jù)采集,還可采用FPGA的PCIE IP核實(shí)現(xiàn)PCIE EP端點(diǎn),與英創(chuàng)嵌入式主板構(gòu)成

    2024年02月15日
    瀏覽(21)
  • 關(guān)于Xilinx、復(fù)旦微 7系列 FPGA “Init_B”引腳的深入探討

    關(guān)于Xilinx、復(fù)旦微 7系列 FPGA “Init_B”引腳的深入探討

    關(guān)注+ 星標(biāo)公眾 號(hào) ,及時(shí)獲取更多技術(shù)分享~???????? ?作者 | 冰茶奧利奧 微信公眾號(hào)?|?嵌入式電子創(chuàng)客街 這兩年項(xiàng)目上提國產(chǎn)化的越來越非常嚴(yán)格,尤其是今年,軍工項(xiàng)目更加特殊,總體單位直接提百分百純國產(chǎn)化。這百分百國產(chǎn)化器件中,有外資控股的企業(yè)不

    2024年02月06日
    瀏覽(25)
  • Xilinx FPGA開發(fā)環(huán)境vivado使用流程

    Xilinx FPGA開發(fā)環(huán)境vivado使用流程

    第一步:點(diǎn)擊Add Sources按鈕 第二步:選擇add or create design sources按鈕,即添加設(shè)計(jì)文件 第三步:選擇create file 文件新建完成后: 此時(shí)可以定義I/O端口,我們選擇自己在程序中編寫。 第四步:在編輯器中編寫verilog程序 XDC文件里主要是完成管腳的約束,時(shí)鐘的約束,以及組的約

    2024年02月03日
    瀏覽(34)
  • Xilinx FPGA SPIx4 配置速度50M約束語句(Vivado開發(fā)環(huán)境)

    Xilinx FPGA SPIx4 配置速度50M約束語句(Vivado開發(fā)環(huán)境)

    qspi_50m.xdc文件: 等同于圖形化配置方式:

    2024年02月22日
    瀏覽(99)
  • Xilinx PCIe XDMA IP中斷

    Xilinx PCIe XDMA IP中斷

    IRQ Module Legacy Interrupts (1)usr_irq_req拉高導(dǎo)致中斷發(fā)送到PCIe Host,可以同時(shí)拉高多個(gè)bit; (2)等到ack后,相應(yīng)的usr_irq_req才可以拉低; 第一個(gè) ack 表示 INT 消息已經(jīng)被發(fā)送到了 PCIe block ; (3) 經(jīng)過 (2) 后 ,F(xiàn)PGA XDMA 內(nèi)的 interrupt pending register 將會(huì)拉高 , 等待 ISR 的查和處理

    2024年01月16日
    瀏覽(18)
  • Xilinx FPGA未使用管腳上下拉狀態(tài)配置(ISE和Vivado環(huán)境)

    Xilinx FPGA未使用管腳上下拉狀態(tài)配置(ISE和Vivado環(huán)境)

    ISE開發(fā)環(huán)境 ISE開發(fā)環(huán)境,可在如下Bit流文件生成選項(xiàng)中配置。 右鍵點(diǎn)擊 Generate Programming File ,選擇 Process Properties , 在彈出的窗口選擇 Configuration Options-Unused Pin ,選擇 Pull Down、Pull Up或者Float 。 可以看到,除了未使用管腳,一些系統(tǒng)管腳,比如JTAG,Program、Done管腳等等都可

    2024年02月06日
    瀏覽(32)
  • 關(guān)于xilinx fpga flash燒錄失敗報(bào)錯(cuò)cannot set write enable bit or block(s) protected

    關(guān)于xilinx fpga flash燒錄失敗報(bào)錯(cuò)cannot set write enable bit or block(s) protected

    最近買了塊新板子,固化程序一次就燒錄不了,報(bào)錯(cuò)ERROR: [Labtools 27-3347] Flash Programming Unsuccessful: cannot set write enable bit or block(s) protected 記錄一下如何解決的吧。 字面意思,flash寫保護(hù)了。用的開發(fā)板上的flash是MX25L25645G,先看手冊status register。 如圖保護(hù)是bit5到bit0。 讀一下該

    2024年02月08日
    瀏覽(31)
  • PCIe基礎(chǔ)知識(shí)及Xilinx相關(guān)IP核介紹

    PCIe基礎(chǔ)知識(shí)及Xilinx相關(guān)IP核介紹

    補(bǔ)發(fā)以下以前學(xué)習(xí)PCIe總結(jié)的知識(shí)。 PCIe學(xué)習(xí)筆記系列: PCIe基礎(chǔ)知識(shí)及Xilinx相關(guān)IP核介紹 概念了解:簡單學(xué)習(xí)PCIe的數(shù)據(jù)鏈路與拓?fù)浣Y(jié)構(gòu),另外看看有什么相關(guān)的IP核。 【PG054】7 Series Integrated Block for PCI Express IP核的學(xué)習(xí) 基礎(chǔ)學(xué)習(xí):關(guān)于Pcie IP核的數(shù)據(jù)手冊,學(xué)習(xí)PCIe相關(guān)的IP核的

    2024年02月02日
    瀏覽(19)
  • FPGA與DSP之間的EMIF接口調(diào)試

    FPGA與DSP之間的EMIF接口調(diào)試

    外部設(shè)備連接接口包括外部存儲(chǔ)器連接接口(EMIF)、主機(jī)接口(HPI)等。外部存儲(chǔ)器接口主要用來同并行存儲(chǔ)器連接,這些存儲(chǔ)器包括SDRAM、SBSRAM、Flash、SRAM存儲(chǔ)器等,外部存儲(chǔ)器接口還可以同外部并行設(shè)備進(jìn)行連接,這些設(shè)備包括并行A/D、D/A轉(zhuǎn)換器、具有異步并行接口的專

    2024年02月16日
    瀏覽(18)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包