????????關(guān)于在linxu環(huán)境下Xilinx FPGA PCIE的接口調(diào)試從中遇到了幾個(gè)問題,第一個(gè)就是時(shí)鐘接口的選擇,還有一個(gè)就是上位機(jī)如何識(shí)別XDMA;
??????? 操作系統(tǒng)環(huán)境:Linux
??????? 板卡:兩款開發(fā)板VC707、KCU105
??????? 上位機(jī)環(huán)境準(zhǔn)備:從Xilinx官網(wǎng)下載linux環(huán)境的XDMA驅(qū)動(dòng),并編譯安裝好;
一、PCIE時(shí)鐘接口
?????? 1. 從VC707的pcie例程中可以看到,開發(fā)板自帶的金手指的時(shí)鐘通過
?????? 在約束文件中set_property LOC IBUFDS_GTE2_X1Y5 [get_cells refclk_ibuf_0]規(guī)定好了通道,在TOP文件中用
?IBUFDS_GTE2?????? refclk_ibuf_0???????? (.O(sys_clk_0), .ODIV2(), .I(sys_clk_p_0), .CEB(1'b0), .IB(sys_clk_n_0));
????????源語一次即可,這里的X1Y5是固定到了AB7、AB8管腳,如下圖所示,AB7、AB8下方就是refclk,用鼠標(biāo)放置在該buf上,就會(huì)顯示其編號(hào)為X1Y5;
?????????2.現(xiàn)在VC707的FMC接口引出PCIE管腳,對應(yīng)的時(shí)鐘約束管腳找了官方的各種手冊都沒有找到,后來在open systhesized design的版圖中,能找到管腳對應(yīng)的refclk_ibuf的編號(hào);當(dāng)管腳選擇為E9,E10時(shí),對應(yīng)的refclk為X1Y10;
? 3.KCU105的時(shí)鐘管腳與VC707的約束辦法以及源語方式又不一樣,在約束文件中可以用
???? set_property LOC H6 [get_ports sys_clk_p]
???? create_clock -name sys_clk -period 10 [get_ports sys_clk_p]
???? 在top文件中,用下述源語描述即可;
? ?? IBUFDS_GTE3 # (.REFCLK_HROW_CK_SEL(2'b00)) refclk_ibuf (.O(sys_clk_gt), .ODIV2( sys_clk ), .I(sys_clk_p), .CEB(1'b0), .IB(sys_clk_n));
?????? 若是要換成FMC的pcie管腳,在約束文件中將對應(yīng)的時(shí)鐘管腳更換即可;
二、如何識(shí)別XDMA
??????? 除了要使用XDMA這個(gè)IP,上位機(jī)要識(shí)別XDMA,還需要reg_map;
?文章來源地址http://www.zghlxwxcb.cn/news/detail-446993.html
?文章來源:http://www.zghlxwxcb.cn/news/detail-446993.html
?
?
到了這里,關(guān)于Xilinx FPGA PCIE接口調(diào)試的文章就介紹完了。如果您還想了解更多內(nèi)容,請?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!