国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路

這篇具有很好參考價值的文章主要介紹了南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

一、組合邏輯電路

(1)實驗?zāi)康?/h3>

5、使用ISE軟件完成組合邏輯設(shè)計的輸入并仿真
6、掌握Testbech中組合邏輯測試文件的寫法
7、下載并測試實現(xiàn)的邏輯功能

(2)實驗原理或設(shè)計過程

①4選1數(shù)據(jù)選擇器

  • RTL代碼
module m4_1(in0,in1,in2,in3,S,F);

	input in0,in1,in2,in3;   
	input [1:0]S;				//定義輸入					
	
	output reg F;				//定義輸出,F(xiàn)定義為reg型
	
	always @*					//敏感詞使用*
	begin
		case(S)					//case語句實現(xiàn)選擇輸出
			2'b00:F<=in0;
			2'b01:F<=in1;
			2'b10:F<=in2;
			2'b11:F<=in3;		//實現(xiàn)輸入S=00、01、10、11時,輸出										in0,in1,in2,in3
		endcase
  end
    
endmodule
  • 仿真測試模塊代碼
`timescale 1ns / 1ps
module m4_1_tb;


	reg in0,in1,in2,in3;
	reg [1:0] S;

	wire F;

	m4_1 uut (
		.in0(in0),
		.in1(in1),
		.in2(in2),
		.in3(in3),
		.S(S), 
		.F(F)
	);						//例化模塊
 
	initial begin
		in0=0;
		in1=0;
		in2=0;
		in3=0;
		S = 0;			//初始化輸入

		#100;
        
		fork				//fork-join實現(xiàn)并行輸入
			repeat(5) #400 S=S+1;		//每400ns S+1
			repeat(100)	#20 in0=~in0;	
			repeat(50)	#40 in1=~in1;
			repeat(25)	#80 in2=~in2;
			repeat(10)	#200 in3=~in3;	//輸入in0,in1,in2,in3信號為不												同的脈沖信號,方便選擇后觀察
		join
	end
endmodule

②3-8譯碼器

  • RTL代碼
module d3_8(D,Y);

	input [2:0]D;						//定義三位輸入
	
	output reg[7:0]Y;					//定義八位輸出

	always@(D)							//使用always塊,敏感詞選用D
	begin
		case(D)							//case語句實現(xiàn)3-8過程
			3'b000:Y=8'b1111_1110;
			3'b001:Y=8'b1111_1101;
			3'b010:Y=8'b1111_1011;
			3'b011:Y=8'b1111_0111;
			3'b100:Y=8'b1110_1111;
			3'b101:Y=8'b1101_1111;
			3'b110:Y=8'b1011_1111;
			3'b111:Y=8'b0111_1111;	//輸入和輸出一一選擇對應(yīng)
		endcase
	end
endmodule
  • 仿真測試模塊代碼
`timescale 1ns / 1ps
module d3_8_tb;

	reg [2:0] D;

	wire [7:0] Y;

	d3_8 uut (
		.D(D), 
		.Y(Y)
	);

	initial begin
		D = 0;
		#100;				//進(jìn)行輸入端口初始化
		
		repeat(10)		//重復(fù)十次
		begin
			D=3'b000;
			#20;
			D=3'b001;
			#20;
			D=3'b010;
			#20;
			D=3'b011;
			#20;
			D=3'b100;
			#20;
			D=3'b101;
			#20;
			D=3'b110;
			#20;
			D=3'b111;
			#20;			//依次使D等于0-7,觀察輸出
		end
	end  
endmodule

③8-3優(yōu)先編碼器

  • RTL代碼
module en8_3(en,I,Y,Yx,Yn);
  
	input en;
	input [7:0]I;
	
	output reg[2:0]Y;
	output reg Yx,Yn;
	
	always@(I)
	begin
		if(!en)
		begin
			Y=3'b111;
			Yx=1;
			Yn=1;
		end
		else 
			begin
				if(I==8'b1111_1111)
				begin
					Y=3'b111;
					Yx=1;
					Yn=0;
				end
				else
					begin
						Yx=0;
						Yn=1;
						if(I[7]==0)
							Y=3'b000;
						else if(I[6]==0)
							Y=3'b001;
						else if(I[5]==0)
							Y=3'b010;
						else if(I[4]==0)
							Y=3'b011;
						else if(I[3]==0)
							Y=3'b100;
						else if(I[2]==0)
							Y=3'b101;
						else if(I[1]==0)
							Y=3'b110;
						else if(I[0]==0)
							Y=3'b111;
						
					end
			end
	end

endmodule
  • 仿真測試模塊代碼
`timescale 1ns / 1ps
module en8_3_tb;

	reg en;
	reg [7:0] I;

	wire [2:0] Y;
	wire Yx;
	wire Yn;

	en8_3 uut (
		.en(en), 
		.I(I), 
		.Y(Y), 
		.Yx(Yx), 
		.Yn(Yn)
	);
  
	initial begin
		en = 0;
		I = 0;

		#100;
        
		en=1;
		repeat(20)
			begin
			I=8'b1111_1111;
			#20;
			I=8'b0111_1111;
			#20;
			I=8'b1011_1111;
			#20;
			I=8'b1101_1111;
			#20;
			I=8'b1110_1111;
			#20;
			I=8'b1111_0111;
			#20;
			I=8'b1111_1011;
			#20;
			I=8'b1111_1101;
			#20;
			I=8'b1111_1110;
			#20;
		end
   
	end
      
endmodule

④十六進(jìn)制七段LED顯示譯碼器

南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路

  • RTL代碼
module led7(D,Y,en);

	input [3:0]D;
	input en;
	
	output reg[6:0]Y;
	
	always@(D)
	begin
		if(!en)
			Y=7'b000_0000;
		else
			case(D)
				4'b0000:Y=7'b011_1111;
				4'b0001:Y=7'b000_0110;
				4'b0010:Y=7'b101_1011;
				4'b0011:Y=7'b100_1111;
				4'b0100:Y=7'b110_0110;
				4'b0101:Y=7'b110_1101;
				4'b0110:Y=7'b111_1101;
				4'b0111:Y=7'b000_0111;
				4'b1000:Y=7'b111_1111;
				4'b1001:Y=7'b110_1111;
				4'b1010:Y=7'b111_0111;
				4'b1011:Y=7'b111_1100;
				4'b1100:Y=7'b011_1001;
				4'b1101:Y=7'b101_1110;
				4'b1110:Y=7'b111_1001;
				4'b1111:Y=7'b111_0001;
			endcase
	end
	
endmodule
  • 仿真測試模塊代碼
`timescale 1ns / 1ps
module led7_tb;

	reg [3:0] D;
	reg en;

	wire [6:0] Y;

	led7 uut (
		.D(D), 
		.Y(Y), 
		.en(en)
	);

	initial begin
		D = 0;
		en = 0;

		#100;
        
		en=1;
		
		repeat(10)
		begin
			D=4'b0000;
			#20;
			D=4'b0001;
			#20;
			D=4'b0010;
			#20;
			D=4'b0011;
			#20;
			D=4'b0100;
			#20;
			D=4'b0101;
			#20;
			D=4'b0110;
			#20;
			D=4'b0111;
			#20;
			D=4'b1000;
			#20;
			D=4'b1001;
			#20;
			D=4'b1010;
			#20;
			D=4'b1011;
			#20;
			D=4'b1100;
			#20;
			D=4'b1101;
			#20;
			D=4'b1110;
			#20;
			D=4'b1111;
			#20;
		end
	end
endmodule

(3)實驗數(shù)據(jù)分析和實驗結(jié)果

1、四選一數(shù)據(jù)選擇器波形圖:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路
2、3-8譯碼器波形圖:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路
3、8-3優(yōu)先編碼器波形圖:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路

(4)仿真波形圖

1、四選一數(shù)據(jù)選擇器仿真圖:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路
2、3-8譯碼器仿真圖:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路
3、8-3優(yōu)先編碼器仿真圖:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路
4、十六進(jìn)制7段led顯示譯碼器:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路

二、時序邏輯電路

(1)實驗?zāi)康?/h3>

1、使用ISE軟件完成時序邏輯電路的設(shè)計輸入并仿真
2、掌握tb中時序邏輯測試文件的寫法
3、下載并測試實現(xiàn)的邏輯電路

(2)實驗原理或設(shè)計過程

①74161計數(shù)器

  • RTL代碼
module and74161(CR,ld,P,T,clk,Q,rst,A,B,C,D);

	input CR,ld,P,T,rst;
	input clk;
	input A,B,C,D;
	
	output reg[3:0]Q;
	
	always @(posedge clk,negedge rst)
	begin
		if(rst==0)
			Q<=4'b0;
		else if(CR==0)
			Q<=4'b0;
		else if(CR&ld==0)
			Q<={A,B,C,D};
		else if(CR&ld&P&T)
			Q<=Q+1;
		else if(CR&ld&P==0)
			Q<=Q;
		else if(CR&ld&T==0)
			Q<=Q;
	end

endmodule
  • 仿真測試模塊代碼
`timescale 1ns / 1ps
module and74161_tb;

	reg CR;
	reg ld;
	reg P;
	reg T;
	reg clk;
	reg A,B,C,D;
	reg rst;

	wire [3:0] Q;
	
	and74161 uut (
		.CR(CR), 
		.ld(ld), 
		.P(P), 
		.T(T), 
		.clk(clk), 
		.Q(Q), 
		.A(A),
		.B(B),
		.C(C),
		.D(D),
		.rst(rst)
	);

	initial begin
		CR = 0;
		ld = 0;
		P = 0;
		T = 0;
		clk = 0;
		A=0;
		B=0;
		C=0;
		D=0;
		rst=0;

		#100;
		A=0; B=1;C=0;D=1;
		rst=1;
		CR=1;ld=0;#50;			//ABCD
		
		rst=1;
		CR=0;ld=1;P=1;T=1;
		#20;			//清0
		
		rst=1;
		CR=1;ld=0;P=0;T=0;
		#20;			//清0
		
		rst=1;
		CR=1;ld=1;P=0;T=1;
		#20;	

		rst=1;
		CR=1;ld=1;P=1;T=0;
		#20;			
		
		rst=1;
		CR=1;ld=1;P=1;T=1;
		#2000;
	
	end
      always #7 clk=~clk;
endmodule

②D觸發(fā)器(異步復(fù)位與同步時能、異步置位與異步復(fù)位)

  • RTL代碼
module dff(D,EN,CLK,sd,rd,Q,QN);

	input D,EN,CLK,sd,rd;
	
	output reg Q;
	output reg QN;
	
	always @(posedge CLK)
	begin
		if(EN&sd==0)
		begin
			Q<=1;QN<=0;
		end
		else if(EN&sd==1&rd==0)
		begin
			Q<=0;QN<=1;
		end
		else if(EN&sd==1&rd==1)
		begin
			Q<=D;QN<=~D;
		end
		else 
		begin
			Q<=Q;QN<=QN;
		end
	
	end

endmodule
  • 仿真測試模塊代碼
`timescale 1ns / 1ps
module dff_tb;

	reg D;
	reg EN;
	reg CLK;
	reg sd;
	reg rd;

	wire Q;
	wire QN;

	dff uut (
		.D(D), 
		.EN(EN), 
		.CLK(CLK), 
		.sd(sd), 
		.rd(rd), 
		.Q(Q), 
		.QN(QN)
	);

	initial begin
		D = 0;
		EN = 0;
		CLK = 0;
		sd = 0;
		rd = 0;
		#100;
		
		EN=1;
	end
	initial
		fork
			forever	#100 rd=rd+1;
			
			forever	#200 sd=sd+1;
			
			forever #20 D=D+1;
		join
	
	always #10 CLK=~CLK;			//輸入時鐘
 
endmodule

③模M計數(shù)器(實現(xiàn)模5計數(shù)器,五個狀態(tài)為3,4,5,6,7)

  • RTL代碼
module counter5(en,clk,cnt,co,rst_n);

	input en;
	input clk;
	input rst_n;
	
	output reg [3:0]cnt;
	output co;
	
	always @(posedge clk,negedge rst_n)
	begin
		if(!rst_n)
			cnt<=4'b0011;
		else if(en&cnt==4'b0111)
			cnt<=4'b0011;
		else if(en)
				cnt<=cnt+1'b1;
		else
			cnt<=cnt;
	end
	
	assign co=cnt[0]&cnt[1]&cnt[2];

endmodule
  • 仿真測試模塊代碼
`timescale 1ns / 1ps
module counter5_tb;

	reg en;
	reg clk;
	reg rst_n;

	wire [3:0] cnt;
	wire co;

	counter5 uut (
		.en(en), 
		.clk(clk), 
		.cnt(cnt), 
		.co(co), 
		.rst_n(rst_n)
	);

	initial begin
		en = 0;
		clk = 0;
		rst_n = 0;

		#100;
		
		en=1;
		rst_n=1;
	end
	always #7 clk=~clk;
      
endmodule

④移位寄存器74194

  • RTL代碼
module reg74194(cr,clk,sr,sl,M,Q,D);

	input cr,clk,sr,sl;
	input [3:0]D;
	input [1:0]M;
	
	output reg[3:0]Q;
	
	always @(posedge clk)
	begin
		if(!cr)
			Q<=4'b0000;
		else if(cr&M==2'b11)
			Q<=D;
		else if(cr&M==2'b01)
			begin
				Q[2:0]<=Q[3:1];
				Q[3]<=sr;
			end
		else if(cr&M==2'b10)
			begin
				Q[3:1]<=Q[2:0];
				Q[0]<=sl;
			end
		else 
			Q<=Q;
	end

endmodule
  • 仿真測試模塊代碼
`timescale 1ns / 1ps
module reg74194_tb;

	reg cr;
	reg clk;
	reg sr;
	reg sl;
	reg [1:0] M;
	reg [3:0] D;

	wire [3:0] Q;

	reg74194 uut (
		.cr(cr), 
		.clk(clk), 
		.sr(sr), 
		.sl(sl), 
		.M(M), 
		.Q(Q), 
		.D(D)
	);

	initial begin
		cr = 0;
		clk = 0;
		sr = 0;
		sl = 0;
		M = 0;
		D = 0;

		#100;
       
		cr=1;M=2'b11;
		D=4'b1011;
		#50;
		
		cr=1;M=2'b01;sr=1'b1;
		#50;
		
		cr=1;M=2'b01;sr=1'b0;
		#50;
		
		cr=1;M=2'b10;sl=1'b1;
		#50;
		
		cr=1;M=2'b10;sl=1'b0;
		#50;
		
		cr=1;M=2'b00;
		#50;

	end
      always #7 clk=~clk;
endmodule

(3)實驗數(shù)據(jù)分析和實驗結(jié)果

1、74161仿真波形圖:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路

2、D觸發(fā)器(異步復(fù)位與同步使能、異步置位與異步復(fù)位)
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路

3、模M計數(shù)器(實現(xiàn)模5計數(shù)器,五個狀態(tài)為3,4,5,6,7)
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路

4、移位寄存器74194
左移:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路

右移:
南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路文章來源地址http://www.zghlxwxcb.cn/news/detail-443711.html

到了這里,關(guān)于南京郵電大學(xué)電工電子(數(shù)電)實驗報告——組合邏輯電路 & 時序邏輯電路的文章就介紹完了。如果您還想了解更多內(nèi)容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實不符,請點擊違法舉報進(jìn)行投訴反饋,一經(jīng)查實,立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費用

相關(guān)文章

  • 南京郵電大學(xué)數(shù)學(xué)實驗最新版

    1.1(1) 1.1(2) 1.2 1.3 1.4 1.5 1.6 1.7(1) f.m g.m main 1.7(2) f1.m g1.m main 1.8(1) 1.8(2) 1.9 1.10 1.11 1.12(1) 1.12(2) fun.m Main.m 2.1(1) dd.m main 2.2 2.3 Martin.m 2.4 2.5(1) 2.5(2) 3.1 結(jié)果 3.2 結(jié)果 3.3(1) 3.3(3) 3.4 4.1 4.3 4.4 4.5(1) 4.5(2) 4.6 4.7 4.8 4.9 實驗一 //散點圖 //模型建立 //數(shù)據(jù)預(yù)測

    2024年02月11日
    瀏覽(26)
  • 2023南京郵電大學(xué)通達(dá)學(xué)院《數(shù)學(xué)實驗》MATLAB實驗答案

    2023南京郵電大學(xué)通達(dá)學(xué)院《數(shù)學(xué)實驗》MATLAB實驗答案

    四月維夏,六月徂暑。 勤將勵勉,勿望再晨。 ——贈nmy 南京郵電大學(xué)通達(dá)學(xué)院《數(shù)學(xué)實驗》MATLAB實驗答案 答案更新時間:2023.04.28,修改了4.2的存疑部分。已更新完成,如無錯誤不在更新 為了方便核算,我在代碼中單獨將 m 定義為自變量運算或者直接以m=117代入,作業(yè)中可以

    2023年04月20日
    瀏覽(69)
  • 南京郵電大學(xué)數(shù)據(jù)庫實驗一(SQL語言)

    (1) 通過上機(jī)實踐,熟悉Oracle的SQL * Plus環(huán)境及使用方法 (2) 掌握SQL語言,能熟練運用SQL語言進(jìn)行數(shù)據(jù)定義和數(shù)據(jù)操縱 (3) 加深對關(guān)系數(shù)據(jù)模型的數(shù)據(jù)結(jié)構(gòu)和約束的理解 硬件:微型計算機(jī) 軟件:Windows 操作系統(tǒng)、ORACLE 10G 實驗原理基于第二、三、五章的相關(guān)內(nèi)容。 實驗內(nèi)容如下:

    2024年04月27日
    瀏覽(22)
  • 南京郵電大學(xué)算法與設(shè)計實驗四:回溯法(最全最新,與題目要求一致)

    南京郵電大學(xué)算法與設(shè)計實驗四:回溯法(最全最新,與題目要求一致)

    要求用回溯法求解8-皇后問題,使放置在8*8棋盤上的8個皇后彼此不受攻擊,即:任何兩個皇后都不在同一行、同一列或同一斜線上。請輸出8皇后問題的所有可行解。 用回溯法編寫一個遞歸程序解決如下裝載問題:有n個集裝箱要裝上2艘載重分別為c1和c2的輪船,其中集裝箱i的

    2024年02月05日
    瀏覽(125)
  • 南京郵電大學(xué)Web技術(shù)雙語實驗一(客戶端HTML腳本編寫)

    實驗?zāi)康模?(1) 通過上機(jī)實踐,熟悉 HTML 和 JavaScript 腳本實現(xiàn)技術(shù)。 (2) 加深對 Web 編程的認(rèn)識 實驗要求: 1 編寫個人主頁,要求包含如下信息。 (1) 標(biāo)題“歡迎訪問×××的主頁” (2) 個人簡介,包含照片。 (3) 個人經(jīng)歷簡介,以有序列表形式顯示。 (4) 個人最

    2024年02月05日
    瀏覽(21)
  • 南京郵電大學(xué)算法與設(shè)計實驗二:貪心算法(最全最新,與題目要求一致)

    南京郵電大學(xué)算法與設(shè)計實驗二:貪心算法(最全最新,與題目要求一致)

    三、實驗原理及內(nèi)容 實驗原理: 1 、用貪心法實現(xiàn)求兩序列的一般背包問題。要求掌握貪心法思想在實際中的應(yīng)用,分析一般背包的問題特征,選擇算法策略并設(shè)計具體算法,編程實現(xiàn)貪心選擇策略的比較,并輸出最優(yōu)解和最優(yōu)解值。 2 、用貪心法求解帶時限的 ( 單位時間

    2024年02月05日
    瀏覽(45)
  • 南京郵電大學(xué)算法與設(shè)計實驗一:分治策略(最全最新,與題目要求一致)

    南京郵電大學(xué)算法與設(shè)計實驗一:分治策略(最全最新,與題目要求一致)

    實驗原理: 1、用分治法實現(xiàn)一組無序序列的兩路合并排序和快速排序。要求清楚合并排序及快速排序的基本原理,編程實現(xiàn)分別用這兩種方法將輸入的一組無序序列排序為有序序列后輸出。 2、采用基于“五元中值組取中值分割法”(median-of-median-of-five partitioning)的線性時

    2024年04月17日
    瀏覽(196)
  • 南京郵電大學(xué)匯編語言程序設(shè)計實驗二(用戶登錄驗證程序的設(shè)計)

    1.掌握循環(huán)程序的編寫以及結(jié)束循環(huán)的方法。 2.掌握DOS、BIOS功能調(diào)用的使用方法。 用戶登錄驗證程序的實現(xiàn) 程序執(zhí)行后,給出提示操作,請用戶鍵入用戶名和密碼;用戶在鍵入密碼時,程序不回顯鍵入字符;只有當(dāng)用戶鍵入的用戶名,密碼字符串和程序內(nèi)定的字符串相同時

    2023年04月18日
    瀏覽(26)
  • 南京郵電大學(xué)匯編語言程序設(shè)計實驗一(匯編語言語法練習(xí)與代碼轉(zhuǎn)換)

    南京郵電大學(xué)匯編語言程序設(shè)計實驗一(匯編語言語法練習(xí)與代碼轉(zhuǎn)換)

    排除語法錯誤:給出的是一個通過比較法完成8位二進(jìn)制數(shù)轉(zhuǎn)換成十進(jìn)制數(shù)送屏幕顯示功能的匯編語言源程序,但有很多語法錯誤。要求實驗者按照原樣對源程序進(jìn)行編輯,匯編后,根據(jù)TASM給出的信息對源程序進(jìn)行修改,知道沒有語法錯誤為止。然后進(jìn)行鏈接,并執(zhí)行相應(yīng)可

    2024年02月08日
    瀏覽(30)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包