国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

千兆以太網(wǎng)(二)——MDIO接口協(xié)議

這篇具有很好參考價(jià)值的文章主要介紹了千兆以太網(wǎng)(二)——MDIO接口協(xié)議。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

1.MDIO協(xié)議簡介

??MAC和PHY芯片有一個(gè)配置接口,即MDIO接口。可以配置PHY芯片的工作模式以及獲取PHY芯片的狀態(tài)信息。PHY芯片內(nèi)部有一系列寄存器。用戶通過配置寄存器來配置PHY芯片的工作模式。
??FPGA通過MDIO接口對(duì)PHY芯片的內(nèi)部寄存器進(jìn)行配置。通常情況下芯片在默認(rèn)情況下也可以工作,即配置芯片不是必須的。也可通過外接特殊引腳的方式來配置PHY芯片的工作模式。
mdio接口協(xié)議,# 千兆以太網(wǎng)協(xié)議,fpga開發(fā)

2. MDIO協(xié)議時(shí)序

??MDIO接口也被稱為SMI接口(Serial Management Interface,串行管理接口),包括ETH_MDC(數(shù)據(jù)管理時(shí)鐘,最大不超過12.5MHZ)和ETH_MDIO(數(shù)據(jù)管理輸入輸出,雙向數(shù)據(jù)線)兩條信號(hào)線。
??MDIO接口的讀寫通信協(xié)議如下圖:
mdio接口協(xié)議,# 千兆以太網(wǎng)協(xié)議,fpga開發(fā)

名稱1 作用
Preamble 32位引導(dǎo)碼,由MAC端發(fā)送32位邏輯1,用于同步PHY芯片
ST(Start of Frame) 兩位幀開始信號(hào),用01表示
OP(Operation Code) 兩位操作碼,讀:10 , 寫:01
PHYAD 五位PHY地址,用于表示和那個(gè)PHY芯片通訊
REGAD(Register Address) 五位寄存器地址,可以表示32位寄存器
TA(Turnaround) 兩位轉(zhuǎn)向。在讀命令中MDIO由MAC驅(qū)動(dòng)改為PHY驅(qū)動(dòng)。寫命令中MAC固定輸入01
data 讀取PHYAD寄存器中對(duì)應(yīng)的數(shù)據(jù)或者寫入數(shù)據(jù)。高位在前低位在后
IDLE 空閑狀態(tài)均為高阻態(tài)

轉(zhuǎn)向就是MAC由發(fā)送數(shù)據(jù)變成接收數(shù)據(jù)
mdio接口協(xié)議,# 千兆以太網(wǎng)協(xié)議,fpga開發(fā)
mdio接口協(xié)議,# 千兆以太網(wǎng)協(xié)議,fpga開發(fā)
mdio接口協(xié)議,# 千兆以太網(wǎng)協(xié)議,fpga開發(fā)文章來源地址http://www.zghlxwxcb.cn/news/detail-807630.html

3.MDIO程序

`timescale 1ns / 1ps

module mdio_dri(
	input	wire 			clk 		,
	input	wire 			rst_n 		,
	input	wire			op_exec 	, 	//觸發(fā)開始信號(hào)
	input	wire 			op_rh_wl	,	//低電平寫,高電平讀
	input	wire 	[4:0] 	op_phy_addr , 	//芯片地址
	input	wire 	[4:0] 	op_reg_addr , 	//寄存器地址
	input 	wire 	[15:0] 	op_wr_data 	, 	//寫數(shù)據(jù)
	output	reg 	 		op_done 	, 	//操作完成
	output	reg 	[15:0] 	op_rd_data 	,	//讀出的數(shù)據(jù)
	output 	reg  			op_rd_ack 	, 	//讀應(yīng)答

	output	reg   			eth_mdc 	,
	inout 	wire  			eth_mdio 	
);



localparam 		SYS_CLK 		= 	'd50_000_000 					;
localparam 		DRI_CLK 		= 	'd12_500_000 		 			;
localparam 		DIV_CNT_MAX 	=	(SYS_CLK/DRI_CLK  >> 1)  -  1 	;

localparam 		IDLE 			= 	6'b000_001; 	//初始狀態(tài)
localparam 		PRE 			= 	6'b000_010; 	//前導(dǎo)碼  32位1
localparam 		START 			= 	6'b000_100; 	//發(fā)送幀開始加操作碼
localparam 		ADDR 			= 	6'b001_000; 	//發(fā)送PHY地址加寄存器地址
localparam 		WR 				= 	6'b010_000; 	//發(fā)送TA加寫入數(shù)據(jù)
localparam 		RD 				= 	6'b100_000; 	//發(fā)送TA加接收數(shù)據(jù)

localparam		Pre 			= 	32'b1111_1111_1111_1111 ;	//前導(dǎo)碼
localparam		ST 				= 	2'b01 					;	//幀開始

wire 			mdio_in 	 ; 	//mdio數(shù)據(jù)輸入
reg 			st_done 	 ; 	//操作完成

reg 	[5:0] 	state 		 ; 	//狀態(tài)機(jī)
reg 			op_rh_wl_r 	 ;
reg 	[5:0]	op_phy_addr_r;
reg 	[5:0]	op_reg_addr_r;
reg 	[15:0]	op_wr_data_r ;
reg 			mdio_out 	 ; 	//mdio數(shù)據(jù)輸出
reg 	[9:0] 	clk_cnt 	 ; 	//時(shí)鐘計(jì)數(shù)器
reg 			mdio_dir 	 ; 	//mdio數(shù)據(jù)方向指示  0輸入 1輸出
reg 	[1:0] 	op_code 	 ;

/********************ila模塊*****************************/
wire 	[255:0] 	probe0;
assign probe0 = {	eth_mdc,
					mdio_out,
					mdio_in,
					mdio_dir,
					state,
					clk_cnt,
					op_code,
					op_rd_data,
					op_rh_wl,
					op_phy_addr,
					op_wr_data,
					st_done,
					op_reg_addr,
					op_rd_ack
				};
ila_0 ila_0_inst (
	.clk(clk), // input wire clk
	.probe0(probe0) // input wire [255:0] probe0
);
/********************************************************/
//雙向IO
assign eth_mdio = mdio_dir ? mdio_out : 1'bz;
assign mdio_in  = eth_mdio;


//eth_mdc 	12.5MHZ    1   3時(shí)鐘變化
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		eth_mdc 	<=  	'd1;
	end
	else if (state != IDLE && clk_cnt[0] == 1'b0) begin
		eth_mdc 	<= 	~eth_mdc;
	end
end

//寄存器  當(dāng)傳輸開始時(shí)將數(shù)據(jù)鎖存起來
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		op_code 		<= 'd0;
		op_phy_addr_r 	<= 'd0;
		op_reg_addr_r 	<= 'd0;
		op_wr_data_r	<= 'd0;
	end
	else if (op_exec == 1'b1) begin
		op_code 		<= {op_rh_wl,~op_rh_wl};//OP_CODE: 2'b01(寫)  2'b10(讀) 
		op_phy_addr_r 	<= op_phy_addr 	;
		op_reg_addr_r 	<= op_reg_addr;
		op_wr_data_r	<= op_wr_data ;
	end
end

//狀態(tài)轉(zhuǎn)移
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		state <= IDLE ;
	end
	else  begin
		case(state)
			IDLE 	: 	begin
				if (op_exec == 1'b1) begin
					state <= PRE ;
				end
			end
			PRE 	: 	begin
				if (st_done == 1'b1) begin
					state <= START ;
				end
			end
			START 	: 	begin
				if (st_done == 1'b1) begin
					state <= ADDR ;
				end
			end
			ADDR 	: 	begin
				if (st_done == 1'b1 && op_code[1] == 1'b0) begin
					state <= WR ;
				end
				else if (st_done == 1'b1 && op_code[1] == 1'b1) begin
					state <= RD ;
				end
			end
			WR 		: 	begin
				if (st_done == 1'b1) begin
					state <= IDLE ;
				end
			end
			RD 		: 	begin
				if (st_done == 1'b1) begin
					state <= IDLE ;
				end
			end
		endcase
	end
end


//狀態(tài)輸出
always @(posedge clk or negedge rst_n) begin
	if (rst_n == 1'b0) begin
		clk_cnt 	<= 'd0;
		mdio_out 	<= 1'b0;
		mdio_dir 	<= 1'b0;
		op_done 	<= 1'b0;
		st_done 	<= 1'b0;
		op_rd_data 	<= 16'b0;
	end
	else  begin
	clk_cnt  <= clk_cnt + 1'b1;
	op_done  <= 1'b0;
		case(state)
			IDLE 	: 	begin
				clk_cnt 	<= 'd0;
				mdio_dir 	<= 'd0;
				mdio_out 	<= 'd1;
				op_rd_ack 	<= 1'b1;
				op_done 	<= 1'b0;
			end
			PRE 	: 	begin 	//前導(dǎo)碼  發(fā)送32位1
				mdio_dir <= 1'b1;
				mdio_out <= 1'b1;
				if (clk_cnt == 4 * 32 - 2) begin
					st_done <= 1'b1;
				end
				else if (clk_cnt == 4 * 32 - 1) begin
					st_done <= 1'b0;
					clk_cnt <= 'd0;
				end
			end
			START 	: 	begin 	//幀開始加操作碼
				mdio_dir <= 1'b1;
				case(clk_cnt)
					0 	: 	mdio_out 	<= 	1'b0 		;
					4 	: 	mdio_out 	<=  1'b1 		; 	//兩位幀開始
					8 	: 	mdio_out 	<= 	op_code[1] 	;
					12 	: 	mdio_out 	<= 	op_code[0] 	;
					14  : 	st_done 	<= 	1'b1 		;
					15 	: 	begin
						st_done 	<= 	1'b0 	;
						clk_cnt 	<= 	'd0 	;
					end
				endcase
			end
			ADDR 	: 	begin
				mdio_dir <= 1'b1;
				case(clk_cnt)
					0 	: 	mdio_out 	<= 	op_phy_addr_r[4] 	;
					4 	: 	mdio_out 	<= 	op_phy_addr_r[3] 	;
					8 	: 	mdio_out 	<= 	op_phy_addr_r[2] 	;
					12 	: 	mdio_out 	<= 	op_phy_addr_r[1] 	;
					16 	: 	mdio_out 	<= 	op_phy_addr_r[0] 	; 	//PHY地址
					20 	: 	mdio_out 	<= 	op_reg_addr_r[4] 	;
					24 	: 	mdio_out 	<= 	op_reg_addr_r[3] 	;
					28 	: 	mdio_out 	<= 	op_reg_addr_r[2] 	;
					32 	: 	mdio_out 	<= 	op_reg_addr_r[1] 	;
					36 	: 	mdio_out 	<= 	op_reg_addr_r[0] 	; 	//寄存器地址
					38 	: 	st_done 	<= 	1'b1 				;
					39  : 	begin
						st_done 	<= 	1'b0;
						clk_cnt 	<= 	 'b0;
					end
				endcase
			end
			WR 		: 	begin
				mdio_dir <= 1'b1;
				case(clk_cnt)
				 	0 	: 	mdio_out 	<= 	1'b1 			 ;
				 	4 	: 	mdio_out 	<= 	1'b0 			 ; 	//寫操作 不轉(zhuǎn)向 10
				 	8 	: 	mdio_out 	<= 	op_wr_data_r[15] ;
				 	12 	: 	mdio_out 	<= 	op_wr_data_r[14] ;
				 	16 	: 	mdio_out 	<= 	op_wr_data_r[13] ;
				 	20 	: 	mdio_out 	<= 	op_wr_data_r[12] ;
				 	24 	: 	mdio_out 	<= 	op_wr_data_r[11] ;
				 	28 	: 	mdio_out 	<= 	op_wr_data_r[10] ;
				 	32 	: 	mdio_out 	<= 	op_wr_data_r[9]  ;
				 	36 	: 	mdio_out 	<= 	op_wr_data_r[8]  ;
				 	40 	: 	mdio_out 	<= 	op_wr_data_r[7]  ;
				 	44 	: 	mdio_out 	<= 	op_wr_data_r[6]  ;
				 	48 	: 	mdio_out 	<= 	op_wr_data_r[5]  ;
				 	52 	: 	mdio_out 	<= 	op_wr_data_r[4]  ;
				 	56 	: 	mdio_out 	<= 	op_wr_data_r[3]  ;
				 	60 	: 	mdio_out 	<= 	op_wr_data_r[2]  ;
				 	64 	: 	mdio_out 	<= 	op_wr_data_r[1]  ;
				 	68 	: 	mdio_out 	<= 	op_wr_data_r[0]  ;
				 	70 	: 	st_done 	<= 	1'b1 			;
				 	71 	: 	begin
				 		st_done 	<= 1'b0 ;
				 		clk_cnt 	<= 'd0  ;
				 		mdio_dir 	<= 1'b0 ;
				 		op_done 	<= 1'b1 ;
				 		mdio_out 	<= 1'b1 ;
				 	end
				 endcase
			end
			RD 		: 	begin
				mdio_dir <= 1'b0;
				case(clk_cnt)
					2 	: 	 								; 	//等待轉(zhuǎn)向
					6 	: 	op_rd_ack 		<= 	mdio_in  	; 	//轉(zhuǎn)向完成  應(yīng)答信號(hào)拉低代表應(yīng)答成功  
					10 	: 	op_rd_data[15] 	<= 	mdio_in 	; 	
					14 	: 	op_rd_data[14] 	<= 	mdio_in 	;
					18 	: 	op_rd_data[13] 	<= 	mdio_in 	;
					22 	: 	op_rd_data[12] 	<= 	mdio_in 	;
					26 	: 	op_rd_data[11] 	<= 	mdio_in 	;
					30 	: 	op_rd_data[10] 	<= 	mdio_in 	;
					34 	: 	op_rd_data[9] 	<= 	mdio_in 	;
					38 	: 	op_rd_data[8] 	<= 	mdio_in 	;
					42 	: 	op_rd_data[7] 	<= 	mdio_in 	;
					46 	: 	op_rd_data[6] 	<= 	mdio_in 	;
					50 	: 	op_rd_data[5] 	<= 	mdio_in 	;
					54 	: 	op_rd_data[4] 	<= 	mdio_in 	;
					58 	: 	op_rd_data[3] 	<= 	mdio_in 	;
					62 	: 	op_rd_data[2] 	<= 	mdio_in 	;
					66 	: 	op_rd_data[1] 	<= 	mdio_in 	;
					70 	: 	op_rd_data[0] 	<= 	mdio_in 	;
					72 	: 	st_done 		<= 	1'b1 		;
					73 	: 	begin
						st_done 	<= 	1'b0 				;
						clk_cnt 	<= 	'd0   				;
						mdio_dir 	<= 	'd0 				; 	//高阻
						mdio_out 	<=  'd1 				;
						op_done 	<= 	1'b1 				;
					end
				endcase 
			end
		endcase
	end
end
endmodule



到了這里,關(guān)于千兆以太網(wǎng)(二)——MDIO接口協(xié)議的文章就介紹完了。如果您還想了解更多內(nèi)容,請?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • 基于UDP協(xié)議的千兆以太網(wǎng)傳輸(FPGA)

    基于UDP協(xié)議的千兆以太網(wǎng)傳輸(FPGA)

    @[TOC]基于UDP協(xié)議的千兆以太網(wǎng)傳輸(FPGA) UDP協(xié)議是一種基于無連接協(xié)議,即發(fā)送端發(fā)送數(shù)據(jù)無需確認(rèn)接收端是否存在;接收端收到數(shù)據(jù)后也無需給發(fā)送端反饋是否收到,所以UDP在數(shù)據(jù)發(fā)送過程中允許丟失一兩包數(shù)據(jù)。用于對(duì)丟包不嚴(yán)格的場合,比如視頻流,偶有一兩幀的丟

    2024年02月12日
    瀏覽(24)
  • 千兆以太網(wǎng)傳輸層 UDP 協(xié)議原理與 FPGA 實(shí)現(xiàn)(UDP接收)

    千兆以太網(wǎng)傳輸層 UDP 協(xié)議原理與 FPGA 實(shí)現(xiàn)(UDP接收)

    相關(guān)文章: (1)千兆以太網(wǎng)網(wǎng)絡(luò)層 ARP 協(xié)議的原理與 FPGA 實(shí)現(xiàn) (2)千兆以太網(wǎng)硬件設(shè)計(jì)及鏈路層 MAC 協(xié)議格式 (3)CRC校驗(yàn)原理及實(shí)現(xiàn) (4)RGMII 與 GMII 轉(zhuǎn)換電路設(shè)計(jì) (5)千兆以太網(wǎng)網(wǎng)絡(luò)層 IP 協(xié)議介紹與 IP 校 驗(yàn)和算法實(shí)現(xiàn) (6)千兆以太網(wǎng)傳輸層 UDP 協(xié)議原理與 FPGA 實(shí)現(xiàn)(

    2024年02月04日
    瀏覽(29)
  • FPGA千兆網(wǎng)口數(shù)據(jù)傳輸MDIO接口——FPGA學(xué)習(xí)筆記3

    FPGA千兆網(wǎng)口數(shù)據(jù)傳輸MDIO接口——FPGA學(xué)習(xí)筆記3

    ????????是當(dāng)今現(xiàn)有局域網(wǎng)采用的最通用的通信協(xié)議標(biāo)準(zhǔn),它規(guī)定了包括物理層的連線、電子信號(hào)和介質(zhì)訪問層協(xié)議的內(nèi)容。成本低,通信速率高,抗干擾能力強(qiáng)。 標(biāo)準(zhǔn)以太網(wǎng):10Mbit/s 快速以太網(wǎng):100Mbit/s 千兆以太網(wǎng):1000Mbit/s ......... 以太網(wǎng)和千兆網(wǎng)口其實(shí)不完全相同。

    2024年03月24日
    瀏覽(30)
  • FPGA 20個(gè)例程篇:12.千兆網(wǎng)口實(shí)現(xiàn)MDIO接口讀寫

    FPGA 20個(gè)例程篇:12.千兆網(wǎng)口實(shí)現(xiàn)MDIO接口讀寫

    ? ? ? ?千兆網(wǎng)口是我們?nèi)粘I钪薪?jīng)常見到的外設(shè)接口,在后面三個(gè)例程中,我們將會(huì)一起去動(dòng)手實(shí)現(xiàn)千兆網(wǎng)口實(shí)現(xiàn)MDIO接口讀寫、ARP通信協(xié)議、ICMP和UDP通信協(xié)議等,這三個(gè)例程有一定的難度,通過實(shí)際分析、動(dòng)手編碼、模塊劃分、上板調(diào)試大家可以學(xué)到很多內(nèi)容,涵蓋了

    2024年02月01日
    瀏覽(71)
  • FPGA實(shí)現(xiàn)千兆/百兆自適應(yīng)以太網(wǎng)UDP傳輸

    FPGA實(shí)現(xiàn)千兆/百兆自適應(yīng)以太網(wǎng)UDP傳輸

    筆者最近在項(xiàng)目中需要使用到ZYNQ中PL端做以太網(wǎng)UDP傳輸并且需要支持100M/1000M自適應(yīng)切換。使用的PHY型號(hào)為RTL8211。以下分享的主要為利用已有的1000M協(xié)議棧修改為100M并且實(shí)現(xiàn)二者自適應(yīng)切換,IP核主要實(shí)現(xiàn)以下功能 1、實(shí)現(xiàn)100M/1000M自適應(yīng) 2、回環(huán)測試 PS:完整的IP核文件下載地

    2024年01月21日
    瀏覽(52)
  • FPGA優(yōu)質(zhì)開源項(xiàng)目 - UDP RGMII千兆以太網(wǎng)

    FPGA優(yōu)質(zhì)開源項(xiàng)目 - UDP RGMII千兆以太網(wǎng)

    本文介紹一個(gè)FPGA開源項(xiàng)目:UDP RGMII千兆以太網(wǎng)通信。該項(xiàng)目在我之前的工作中主要是用于FPGA和電腦端之間進(jìn)行圖像數(shù)據(jù)傳輸。本文簡要介紹一下該項(xiàng)目的千兆以太網(wǎng)通信方案、以太網(wǎng)IP核的使用以及Vivado工程源代碼結(jié)構(gòu)。 Vivado 的 Tri Mode Ethernet MAC?IP核需要付費(fèi)才能使用,因

    2024年02月14日
    瀏覽(65)
  • FPGA驅(qū)動(dòng)千兆以太網(wǎng)PHY但電腦只顯示百兆

    FPGA驅(qū)動(dòng)千兆以太網(wǎng)PHY但電腦只顯示百兆

    前兩天公司做了個(gè)新板子,ZYNQ7035 + RTL8211E,拿給我測,于是寫邏輯代碼測試一下數(shù)據(jù)回環(huán),沒想到電腦端網(wǎng)絡(luò)適配器一直顯示是百兆網(wǎng)。查了多方原因,差點(diǎn)想手動(dòng)配置寄存器了,但是想想又覺得不對(duì),F(xiàn)PGA邏輯驅(qū)動(dòng)以太網(wǎng)PHY芯片是不用配置寄存器的,只要PHY芯片外部引腳上

    2024年02月16日
    瀏覽(25)
  • FPGA-以太網(wǎng)基礎(chǔ)知識(shí)-MII接口-RMII接口-GMII接口-RGMII接口-MAC協(xié)議-UDP協(xié)議

    FPGA-以太網(wǎng)基礎(chǔ)知識(shí)-MII接口-RMII接口-GMII接口-RGMII接口-MAC協(xié)議-UDP協(xié)議

    記錄學(xué)習(xí)FPGA以太網(wǎng)基礎(chǔ)知識(shí)、包括MII接口-RMII接口-GMII接口-RGMII接口-MAC協(xié)議、UDP協(xié)議 由上圖可得,以太網(wǎng)傳輸流程 : 1、一塊fpga的pcb板子以太網(wǎng)部分,包括FPGA芯片、PHY以太網(wǎng)芯片、網(wǎng)口接口。 2、FPGA芯片包括UDP層、IP層、MAC層,即FPGA封裝好了這些硬件模塊也叫IP核(這里的

    2024年02月08日
    瀏覽(28)
  • 千兆以太網(wǎng)芯片88E1111 RGMII模式的FPGA驅(qū)動(dòng)實(shí)現(xiàn)

    千兆以太網(wǎng)芯片88E1111 RGMII模式的FPGA驅(qū)動(dòng)實(shí)現(xiàn) 在網(wǎng)絡(luò)應(yīng)用領(lǐng)域,千兆以太網(wǎng)已經(jīng)成為主流,而88E1111作為一款先進(jìn)的千兆以太網(wǎng)芯片,其驅(qū)動(dòng)實(shí)現(xiàn)對(duì)于網(wǎng)絡(luò)設(shè)備的性能和穩(wěn)定性有著至關(guān)重要的影響。本文將介紹在RGMII模式下,如何實(shí)現(xiàn)88E1111芯片在FPGA上的驅(qū)動(dòng)。 一、準(zhǔn)備工作

    2024年01月22日
    瀏覽(25)
  • 千兆/百兆車載以太網(wǎng)轉(zhuǎn)換器 羅森伯格H-MTD 泰科MATEnet接口

    千兆/百兆車載以太網(wǎng)轉(zhuǎn)換器 羅森伯格H-MTD 泰科MATEnet接口

    重慶匯迪能電子設(shè)備有限公司 www.hdn-vdo.com #車載以太網(wǎng)轉(zhuǎn)換器 車載以太網(wǎng)轉(zhuǎn)換器是將車載以太網(wǎng)轉(zhuǎn)換為標(biāo)準(zhǔn)以太網(wǎng)的一種協(xié)議轉(zhuǎn)換器。將方便的支持用戶將兩線的車載以太網(wǎng)轉(zhuǎn)換為RJ45接口的網(wǎng)線接入到電腦。 為千兆和百兆可切換雙速車載以太網(wǎng)轉(zhuǎn)換器。采用車載以太網(wǎng)普遍

    2024年02月07日
    瀏覽(35)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包