国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

verilator——牛刀小試

這篇具有很好參考價(jià)值的文章主要介紹了verilator——牛刀小試。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請(qǐng)大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問(wèn)。

verilator——牛刀小試

安裝verilator可見(jiàn):https://blog.csdn.net/qq_40676869/article/details/132648522?spm=1001.2014.3001.5501

正文開(kāi)始

編寫(xiě)一個(gè)異或的電路模塊如下:
top.v

module top(
  input a,
  input b,
  output f
);
  assign f = a ^ b;
endmodule

編寫(xiě)C++測(cè)試文件
tb_top.cpp

#include <stdio.h>
#include <stdlib.h>
#include <assert.h>
#include "verilated.h"
#include "verilated_vcd_c.h"
#include "Vtop.h"

#define MAX_SIM_TIME 200
vluint64_t sim_time = 0;

int main(int argc, char** argv) {
    Vtop *dut = new Vtop;

    Verilated::traceEverOn(true);
    VerilatedVcdC* m_trace = new VerilatedVcdC;
    dut->trace(m_trace, 5);
    m_trace->open("waveform.vcd");
    while (sim_time < MAX_SIM_TIME) {
	    int a = rand() & 1;
	    int b = rand() & 1;
	    dut->a = a;
        dut->b = b;
        dut->eval(); 
        printf("a = %d, b = %d, f = %d\n", a, b, dut->f);
        m_trace->dump(sim_time);
        sim_time++;
        assert(dut->f == (a ^ b));
    }
    m_trace->close();
    delete dut;
    return 0;
}

編譯并運(yùn)行

verilator --cc --trace --exe --build -Wall tb_top.cpp top.v

–cc 將.v文件翻譯成c++
–exe 創(chuàng)建可執(zhí)行文件
–build verilator自動(dòng)進(jìn)行make
–trace 記錄波形

查看波形

sudo apt install gtkwave
gtkwave waveform.vcd

波形如下:

verilator——牛刀小試,verilog,verilog
github鏈接:https://github.com/mulinhu/CPPer/tree/main/verilog/demo1文章來(lái)源地址http://www.zghlxwxcb.cn/news/detail-693082.html

到了這里,關(guān)于verilator——牛刀小試的文章就介紹完了。如果您還想了解更多內(nèi)容,請(qǐng)?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來(lái)自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場(chǎng)。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請(qǐng)注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請(qǐng)點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • 工欲善其事必先利其器--CMake牛刀小試

    這里假設(shè)用戶已經(jīng)安裝好MinGW編譯套件!并配置好環(huán)境變量!具體怎么下載和配置網(wǎng)上教程非常多,這里貼上一個(gè)鏈接:不僅教你安裝MinGW還教你安裝VScode配置 1、學(xué)習(xí)c plus plus編碼為什么要學(xué)習(xí)CMake? 在Windows下使用集成開(kāi)發(fā)環(huán)境(IDE)開(kāi)發(fā)項(xiàng)目時(shí),一般會(huì)使用IDE自帶的構(gòu)建工

    2024年02月06日
    瀏覽(21)
  • 爬蟲(chóng)之牛刀小試(十):爬取某寶手機(jī)商品的銷量,價(jià)格和店鋪

    爬蟲(chóng)之牛刀小試(十):爬取某寶手機(jī)商品的銷量,價(jià)格和店鋪

    首先淘寶需要登錄,這一點(diǎn)如果用selenium如何解決,只能手動(dòng)登錄?如果不用selenium,用cookies登錄也可。但是驗(yàn)證碼又是一個(gè)問(wèn)題,現(xiàn)在的驗(yàn)證碼五花八門(mén),難以處理。 我們回到正題,假設(shè)你已經(jīng)登錄上淘寶了,接著我們需要找到輸入框和搜索按鈕,輸入“手機(jī)”,點(diǎn)擊搜索

    2024年04月10日
    瀏覽(21)
  • 網(wǎng)頁(yè)學(xué)習(xí)-小試牛刀

    網(wǎng)頁(yè)學(xué)習(xí)-小試牛刀

    分為三大部分: HTML 、 CSS 和 JavaScript 。 HTML(Hyper Text Markup Language,即超文本標(biāo)記語(yǔ)言),網(wǎng)頁(yè)骨架。 CSS(Cascading Style Sheets,層疊樣式表),使頁(yè)面變得美觀、優(yōu)雅,網(wǎng)頁(yè)皮膚。 JavaScript(簡(jiǎn)稱JS,是一種腳本語(yǔ)言),實(shí)現(xiàn)實(shí)時(shí)、動(dòng)態(tài)、交互的頁(yè)面功能,網(wǎng)頁(yè)肌肉。 學(xué)習(xí)目的

    2023年04月22日
    瀏覽(46)
  • Mapreduce小試牛刀(1)

    Mapreduce小試牛刀(1)

    1.與hdfs一樣,mapreduce基于hadoop框架,所以我們首先要啟動(dòng)hadoop服務(wù)器 --------------------------------------------------------------------------------------------------------------------------------- 2.修改hadoop-env.sh位置JAVA_HOME配置,在JAVA_HOME前面加上export,重啟主虛擬機(jī),最好也把另外兩個(gè)節(jié)點(diǎn)同位置的

    2024年02月04日
    瀏覽(23)
  • 運(yùn)維Shell腳本小試牛刀(二)

    運(yùn)維Shell腳本小試牛刀(二)

    運(yùn)維Shell腳本小試牛刀(一) 運(yùn)維Shell腳本小試牛刀(二) 運(yùn)維Shell腳本小試牛刀(三)::$(cd $(dirname $0); pwd)命令詳解 [root@www shelldic]# cat checkpass.sh? #!/bin/bash - #================================================================================================================== # # # ? ? ? ? ? ? ? ? ? ? ? ? ?

    2024年02月10日
    瀏覽(28)
  • 快速上手kettle(二)小試牛刀

    快速上手kettle(二)小試牛刀

    目錄 一 、前言 二 、兩個(gè)小目標(biāo) 三、 kettle核心概念介紹 3.1 轉(zhuǎn)換 3.1.1 步驟(Step) 3.1.2 跳(Hop) 3.1.3 元素?fù)?jù) 3.1.4 數(shù)據(jù)類型 3.1.5 并發(fā)執(zhí)行 3.2 作業(yè) 四、實(shí)踐操作 4.1 案例1 將csv文件轉(zhuǎn)換成excel文件 4.1.1 在kettle中新建一個(gè)轉(zhuǎn)換 4.1.2選擇輸入控件并設(shè)置 4.1.3 選擇輸出控件并設(shè)置 4.

    2024年02月06日
    瀏覽(25)
  • 運(yùn)維Shell腳本小試牛刀(一)

    運(yùn)維Shell腳本小試牛刀(一)

    運(yùn)維Shell腳本小試牛刀(一) 運(yùn)維Shell腳本小試牛刀(二) 運(yùn)維Shell腳本小試牛刀(三)::$(cd $(dirname $0); pwd)命令詳解 運(yùn)維Shell腳本小試牛刀(四): 多層嵌套if...elif...elif....else fi_蝸牛楊哥的博客-CSDN博客 Cenos7安裝小火車程序動(dòng)畫(huà) 運(yùn)維Shell腳本小試牛刀(五):until循環(huán) 運(yùn)維Shell腳本小試牛刀

    2024年02月11日
    瀏覽(26)
  • 【LED子系統(tǒng)】八、小試牛刀

    個(gè)人主頁(yè):董哥聊技術(shù) 我是董哥,高級(jí)嵌入式軟件開(kāi)發(fā)工程師,從事嵌入式Linux驅(qū)動(dòng)開(kāi)發(fā)和系統(tǒng)開(kāi)發(fā),曾就職于世界500強(qiáng)公司! 創(chuàng)作理念:專注分享高質(zhì)量嵌入式文章,讓大家讀有所得!

    2024年02月06日
    瀏覽(22)
  • 小試牛刀 -- Kali Linux安裝在手機(jī)

    小試牛刀 -- Kali Linux安裝在手機(jī)

    ? ? ?Kali Linux是基于Debian的Linux的發(fā)行版,里面有許多關(guān)于網(wǎng)絡(luò)滲透的程序,是目前在網(wǎng)絡(luò)安全領(lǐng)域經(jīng)常使用的工具,因此為了便于學(xué)習(xí),在此進(jìn)行Kali Linux的安裝,主要安裝在華為P50上,操作系統(tǒng)是鴻蒙4.0。 ? ?2.1 Termux的安裝及配置 ? ? ? ?(1)Termux的安裝 ? ? ? ?在安裝Te

    2024年01月23日
    瀏覽(30)
  • 爬蟲(chóng)小試牛刀(爬取學(xué)校通知公告)

    完成抓取并解析DGUT通知公告12頁(yè)數(shù)據(jù),并提交excel文件格式數(shù)據(jù),數(shù)據(jù)需要包含日期標(biāo)題,若能夠?qū)崿F(xiàn)將詳情頁(yè)主體內(nèi)容與發(fā)布人信息數(shù)據(jù)也一并抓取更佳 提交內(nèi)容:Excel數(shù)據(jù)文件 首先看到頁(yè)面呈現(xiàn)規(guī)則的各個(gè)方框,這意味著它們之間的一定是一樣的 此處該有圖 我們點(diǎn)開(kāi)后

    2024年02月09日
    瀏覽(18)

覺(jué)得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請(qǐng)作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包