国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

FPGA學(xué)習(xí)——verilog實(shí)現(xiàn)流水燈

這篇具有很好參考價(jià)值的文章主要介紹了FPGA學(xué)習(xí)——verilog實(shí)現(xiàn)流水燈。希望對(duì)大家有所幫助。如果存在錯(cuò)誤或未考慮完全的地方,請(qǐng)大家不吝賜教,您也可以點(diǎn)擊"舉報(bào)違法"按鈕提交疑問。

學(xué)習(xí)芯片: EP4CE6F17C8

一、使用verilog實(shí)現(xiàn)電亮fpga板上的四個(gè)燈

verilog代碼如下:

module led1(

    output wire  [3:0] led_on
);

assign led_on = 4'b1111 ;

endmodule

配置引腳,查看芯片的指導(dǎo)書:
FPGA學(xué)習(xí)——verilog實(shí)現(xiàn)流水燈,fpga開發(fā),學(xué)習(xí)
燒錄運(yùn)行結(jié)果如下:
FPGA學(xué)習(xí)——verilog實(shí)現(xiàn)流水燈,fpga開發(fā),學(xué)習(xí)
如圖我們可以看見開發(fā)板上四個(gè)led燈同時(shí)被電亮。

二、進(jìn)階——使led燈間隔一秒閃爍

代碼如下:

module led1(
    input wire clk,//時(shí)鐘信號(hào),50MHz
    input wire rst_n,//復(fù)位信號(hào),下降沿有效
    output wire  [3:0] led_on
);

parameter MAX1S = 26'd50_000_000;
reg [25:0] cnt1s;//計(jì)數(shù)寄存器器1s
reg [3:0]   led_r;//led信號(hào)寄存器

always @(posedge clk or negedge rst_n) begin
    if (!rst_n ) begin//復(fù)位,重新計(jì)數(shù)
       cnt1s<=26'd0;
    end
    else if (cnt1s == MAX1S-1'b1)begin//記到最大數(shù),重新計(jì)數(shù)
       cnt1s<=26'd0;
    end
    else begin//其他情況+1
      cnt1s <= cnt1s + 1'd1;
    end

   
end

always @(posedge clk or negedge rst_n) begin
    if (!rst_n ) begin//復(fù)位
        led_r = 4'b0000;
    end
    else if (cnt1s == MAX1S-1'b1)begin//記到最大數(shù),翻轉(zhuǎn)
        led_r = ~led_r;
    end
    else begin
        led_r = led_r;
    end
    
end

assign led_on = led_r;

endmodule

配置引腳,查看clock和key的引腳:
FPGA學(xué)習(xí)——verilog實(shí)現(xiàn)流水燈,fpga開發(fā),學(xué)習(xí)
運(yùn)行結(jié)果如下圖:
FPGA學(xué)習(xí)——verilog實(shí)現(xiàn)流水燈,fpga開發(fā),學(xué)習(xí)

此時(shí)可以看見四個(gè)led燈同時(shí)閃爍。

三、進(jìn)階——流水燈及跑馬燈實(shí)現(xiàn)

流水燈代碼實(shí)現(xiàn):

module led1(
    input wire clk,//時(shí)鐘信號(hào),50MHz
    input wire rst_n,//復(fù)位信號(hào),下降沿有效
    output wire  [3:0] led_on
);

parameter MAXS = 25'd25_000_000;
reg [24:0] cnt1s;//計(jì)數(shù)寄存器器0.5s
reg [3:0]   led_r;//led信號(hào)寄存器

always @(posedge clk or negedge rst_n) begin
    if (!rst_n ) begin//復(fù)位,重新計(jì)數(shù)
       cnt1s<=26'd0;
    end
    else if (cnt1s == MAXS-1'b1)begin//記到最大數(shù),重新計(jì)數(shù)
       cnt1s<=26'd0;
    end
    else begin//其他情況+1
      cnt1s <= cnt1s + 1'd1;
    end

   
end

always @(posedge clk or negedge rst_n) begin
    if (!rst_n ) begin//復(fù)位
        led_r = 4'b0001;
    end
    else if (cnt1s == MAXS-1'b1)begin//記到最大數(shù),翻轉(zhuǎn)
        led_r = {led_r[2:0],led_r[3]};
    end
    else begin
        led_r = led_r;
    end
    
end

assign led_on = led_r;

endmodule

實(shí)驗(yàn)效果圖如下:
FPGA學(xué)習(xí)——verilog實(shí)現(xiàn)流水燈,fpga開發(fā),學(xué)習(xí)

此時(shí)可以看見led燈依次閃爍。

跑馬燈代碼:

module led1(
    input wire clk,//時(shí)鐘信號(hào),50MHz
    input wire rst_n,//復(fù)位信號(hào),下降沿有效
    output wire  [3:0] led_on
);

parameter MAXS = 25'd25_000_000;
reg [24:0] cnt1s;//計(jì)數(shù)寄存器器0.5s
reg [3:0]   led_r;//led信號(hào)寄存器

always @(posedge clk or negedge rst_n) begin
    if (!rst_n ) begin//復(fù)位,重新計(jì)數(shù)
       cnt1s<=26'd0;
    end
    else if (cnt1s == MAXS-1'b1)begin//記到最大數(shù),重新計(jì)數(shù)
       cnt1s<=26'd0;
    end
    else begin//其他情況+1
      cnt1s <= cnt1s + 1'd1;
    end

   
end

always @(posedge clk or negedge rst_n) begin
    if (!rst_n ) begin//復(fù)位
        led_r = 4'b0001;
    end
    else if (cnt1s == MAXS-1'b1)begin//記到最大數(shù),翻轉(zhuǎn)
        led_r = {led_r[2:0],~led_r[3]};
    end
    else begin
        led_r = led_r;
    end
    
end

assign led_on = led_r;

endmodule

實(shí)驗(yàn)效果圖如下:
FPGA學(xué)習(xí)——verilog實(shí)現(xiàn)流水燈,fpga開發(fā),學(xué)習(xí)文章來源地址http://www.zghlxwxcb.cn/news/detail-579108.html

到了這里,關(guān)于FPGA學(xué)習(xí)——verilog實(shí)現(xiàn)流水燈的文章就介紹完了。如果您還想了解更多內(nèi)容,請(qǐng)?jiān)谟疑辖撬阉鱐OY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關(guān)文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點(diǎn)僅代表作者本人,不代表本站立場。本站僅提供信息存儲(chǔ)空間服務(wù),不擁有所有權(quán),不承擔(dān)相關(guān)法律責(zé)任。如若轉(zhuǎn)載,請(qǐng)注明出處: 如若內(nèi)容造成侵權(quán)/違法違規(guī)/事實(shí)不符,請(qǐng)點(diǎn)擊違法舉報(bào)進(jìn)行投訴反饋,一經(jīng)查實(shí),立即刪除!

領(lǐng)支付寶紅包贊助服務(wù)器費(fèi)用

相關(guān)文章

  • FPGA開發(fā)之Vivado安裝及HLS環(huán)境配置,并實(shí)現(xiàn)流水燈實(shí)例

    FPGA開發(fā)之Vivado安裝及HLS環(huán)境配置,并實(shí)現(xiàn)流水燈實(shí)例

    HLS(High-Level Synthesis)高層綜合,就是將 C/C++的功能用 RTL 來實(shí)現(xiàn),將 FPGA 的組件在一個(gè)軟件環(huán)境中來開發(fā),這個(gè)模塊的功能驗(yàn)證在軟件環(huán)境中來實(shí)現(xiàn),無縫的將硬件仿真環(huán)境集合在一起,使用軟件為中心的工具、報(bào)告以及優(yōu)化設(shè)計(jì),很容易的在 FPGA 傳統(tǒng)的設(shè)計(jì)工具中生成 IP。

    2024年02月05日
    瀏覽(28)
  • 【FPGA】verilog基礎(chǔ)語法與應(yīng)用:位操作 / 模塊調(diào)用——流水燈(跑馬燈)

    【FPGA】verilog基礎(chǔ)語法與應(yīng)用:位操作 / 模塊調(diào)用——流水燈(跑馬燈)

    今天的實(shí)驗(yàn)是計(jì)數(shù)器實(shí)驗(yàn)的升級(jí),設(shè)計(jì)讓8個(gè)LED燈以每個(gè)0.5s的速率循環(huán)閃爍 1 移位法實(shí)現(xiàn) 1.1 移位方法1 每個(gè)LED燈代表一位,共8位,亮為1,滅為0 如何實(shí)現(xiàn)這樣的邏輯呢? 移位操作即可! 怎么樣才能移位呢? 第一個(gè)狀態(tài)需滿足最低位為1,然后每次左移1個(gè) 源代碼 仿真代碼 功

    2024年01月16日
    瀏覽(26)
  • FPGA學(xué)習(xí)——點(diǎn)亮流水燈

    FPGA學(xué)習(xí)——點(diǎn)亮流水燈

    在FPGA開發(fā)板中,一般板載LED默認(rèn)高電平點(diǎn)亮,因此我們只需要將想要亮起的LED賦值為1即可。 本入門實(shí)驗(yàn)要求為每隔1s開發(fā)板上的LED輪流亮起,因此我們需要一個(gè)1s的計(jì)數(shù)器, 由于開發(fā)板晶振時(shí)鐘為50MHz,因此我們計(jì)數(shù)50_000_000即為1s。 注意:為了后續(xù)方便仿真所以這里MAX設(shè)置

    2024年02月13日
    瀏覽(46)
  • FPGA學(xué)習(xí)——按鍵控制LED流水燈(附源碼 無按鍵消抖版本)

    FPGA學(xué)習(xí)——按鍵控制LED流水燈(附源碼 無按鍵消抖版本)

    在博主的cyclone4開發(fā)板上一共有4個(gè)按鍵,本次實(shí)驗(yàn)的目的是為了實(shí)現(xiàn)每按下一次按鍵,都會(huì)使開發(fā)板上的4個(gè)LED燈切換一次狀態(tài),博主一共設(shè)計(jì)了四種狀態(tài),分別是: 按鍵 狀態(tài) 按鍵1按下 自右向左的流水燈 按鍵2按下 自左向右的流水燈 按鍵3按下 四燈常亮 按鍵4按下 四燈閃爍

    2024年02月06日
    瀏覽(18)
  • 流水燈——FPGA

    流水燈——FPGA

    環(huán)境: 1、Quartus18.0 2、vscode 3、板子型號(hào):EP4CE6F17C8 要求: 每隔0.2s循環(huán)亮起LED燈 從LED0開始亮起到LED3又回到LED0循環(huán)往復(fù)。 流水燈 這里使用了拼接符對(duì)LED進(jìn)行處理加上一個(gè)0.2s的計(jì)時(shí)器進(jìn)行控制即可。 led流水燈

    2024年02月16日
    瀏覽(26)
  • fpga--流水燈

    fpga流水燈的設(shè)計(jì) 思路:外部時(shí)鐘頻率50mhz,若要實(shí)現(xiàn)每隔0.5s閃爍一次,則使用內(nèi)部計(jì)數(shù)器計(jì)數(shù)到24999999拉高一個(gè)周期電平,當(dāng)電平被拉高的時(shí)候,進(jìn)行LED燈電平的設(shè)置,每次檢測到高電平,就進(jìn)行一位LED燈的設(shè)置,進(jìn)行循環(huán)設(shè)置,就形成了流水燈的設(shè)計(jì)。 測試代碼 ?

    2024年02月15日
    瀏覽(32)
  • 按鍵控制流水燈方向——FPGA

    按鍵控制流水燈方向——FPGA

    環(huán)境: 1、Quartus18.0 2、vscode 3、板子型號(hào):EP4CE6F17C8 要求: 按鍵1按下,流水燈從右開始向左開始流動(dòng),按鍵2按下,流水燈從左開始向右開始流動(dòng),按鍵3按下LED每隔1s進(jìn)行亮滅,按鍵4按下LED常亮。 “自鎖”是指開關(guān)能通過鎖定機(jī)構(gòu)保持某種狀態(tài)(通或斷),“輕觸”是說明操

    2024年02月07日
    瀏覽(24)
  • SOC FPGA之流水燈設(shè)計(jì)

    SOC FPGA之流水燈設(shè)計(jì)

    ????????Altera Soc EDS開發(fā)套件的核心是Altera版ARM Development Studio 5(DS-5)工具包,為SoC器件提供了完整的嵌入式開發(fā)環(huán)境、FPGA自適應(yīng)調(diào)試和對(duì)Altera工具的兼容。 首先下載破解器 然后進(jìn)入cmd運(yùn)行,進(jìn)入到破解器所在文件夾 ?然后輸入patcher.exe --license ?:licensepath 最后顯示.dat文件即

    2024年02月14日
    瀏覽(24)
  • 基于FPGA的花樣流水燈的設(shè)計(jì)

    基于FPGA的花樣流水燈的設(shè)計(jì)

    1、學(xué)習(xí)ISE Design Suite 14.7的基本操作; 2、掌握FPGA的開發(fā)流程; 3、學(xué)習(xí)時(shí)序電路的設(shè)計(jì); 4、鞏固狀態(tài)機(jī)的相關(guān)知識(shí)。 根據(jù)對(duì)該實(shí)驗(yàn)要求的分析,設(shè)計(jì)狀態(tài)轉(zhuǎn)移圖如下: 其中,在S1狀態(tài)下LED燈從左往右依次亮;在S2狀態(tài)下LED燈從右往左依次亮;在S3狀態(tài)下LED燈從中間向兩邊擴(kuò)散

    2024年01月16日
    瀏覽(20)
  • FPGA:Vivado流水燈設(shè)計(jì)詳細(xì)流程(1)

    FPGA:Vivado流水燈設(shè)計(jì)詳細(xì)流程(1)

    基于Vivado的FPGA設(shè)計(jì)開發(fā)的流程主要包括以下步驟: 1)創(chuàng)建工程; 2)創(chuàng)建源設(shè)計(jì)文件,包括Verilog文本、IP核、模塊文件、網(wǎng)表輸入等方式; 3)行為仿真(Behavioral Simulation),Vivado自帶仿真器,也可以選擇第三方仿真軟件ModelSim等工具進(jìn)行仿真; 4)綜合(Synthesis):根據(jù)設(shè)

    2024年02月03日
    瀏覽(30)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請(qǐng)作者喝杯咖啡吧~博客贊助

支付寶掃一掃領(lǐng)取紅包,優(yōu)惠每天領(lǐng)

二維碼1

領(lǐng)取紅包

二維碼2

領(lǐng)紅包