国产 无码 综合区,色欲AV无码国产永久播放,无码天堂亚洲国产AV,国产日韩欧美女同一区二区

Vivado綜合生成BRAM及與LUTRAM的區(qū)別

這篇具有很好參考價值的文章主要介紹了Vivado綜合生成BRAM及與LUTRAM的區(qū)別。希望對大家有所幫助。如果存在錯誤或未考慮完全的地方,請大家不吝賜教,您也可以點擊"舉報違法"按鈕提交疑問。

  • 使用vivado中的BRAM可以通過例化Block Memory Generator這一ip并進行相關的設定。

  • 另外可以通過直接編寫符合BRAM規(guī)范的代碼,借助綜合工具直接將其綜合為BRAM。這時需要通過(*ram_style="block"*)array進行修飾。

  • 下面給出一種看似可以綜合為BRAM的示例。

module LUTRAM #( parameter ADDR_WIDTH = 32,
                 parameter DATA_WIDTH = 32,
                 parameter DEPTH = 1024)
                (
                input logic clk,
                input logic rst_n,
                //read port
                input logic re,
                input logic [ADDR_WIDTH-1:0] rd_addr,
                output logic [DATA_WIDTH-1:0] rd_data,
                //write port
                input logic we,
                input logic [ADDR_WIDTH-1:0] wr_addr,
                input logic [DATA_WIDTH-1:0] wr_data
                );

    (*ram_style="block"*)logic [DATA_WIDTH-1:0] bram [0:DEPTH-1];
    //read
    always_ff@(posedge clk, negedge rst_n)
    begin
        if(!rst_n)
            rd_data <= 0;
        else if(re)
            rd_data <= bram[rd_addr];
        else
            rd_data <= 0;
    end
    //write
    always_ff @(posedge clk)
    begin
        if(we)
            bram[wr_addr]<=wr_data;
    end
endmodule
  • 上述代碼雖然用(*ram_style="block"*)array進行了修飾,再綜合時卻沒有消耗BRAM資源,而是消耗了LUTRAM資源。
    lutram和bram區(qū)別,FPGA,Vivado,FPGA

  • 查看Vivado的官方手冊ug901可知,對于Distributed RAM(LUTRAM)和Dedicated Block RAM(BRAM),二者都是寫同步的。主要區(qū)別在于讀數(shù)據(jù),前者為異步,后者為同步的。
    lutram和bram區(qū)別,FPGA,Vivado,FPGA

  • 到這里也就清楚了為什么上方的代碼會被工具綜合為LUTRAM,最小的改動只需將negedge rst_n去掉即可。
    ※ 區(qū)別于(*ram_style="block"*),若要生成LUTRAM,相應的修飾應為(* ram_style="distributed" *)

  • 最后貼出官方手冊中給出的簡易雙端口BRAM的一種示例代碼
    lutram和bram區(qū)別,FPGA,Vivado,FPGA文章來源地址http://www.zghlxwxcb.cn/news/detail-557940.html

到了這里,關于Vivado綜合生成BRAM及與LUTRAM的區(qū)別的文章就介紹完了。如果您還想了解更多內容,請在右上角搜索TOY模板網(wǎng)以前的文章或繼續(xù)瀏覽下面的相關文章,希望大家以后多多支持TOY模板網(wǎng)!

本文來自互聯(lián)網(wǎng)用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務,不擁有所有權,不承擔相關法律責任。如若轉載,請注明出處: 如若內容造成侵權/違法違規(guī)/事實不符,請點擊違法舉報進行投訴反饋,一經(jīng)查實,立即刪除!

領支付寶紅包贊助服務器費用

相關文章

  • FPGA | BRAM和DRAM

    Block ram 由一定數(shù)量固定大小的存儲塊構成的,使用 BLOCK RAM 資源不占用額外的邏輯資源,并且速度快。 但是 使用的時候消耗的 BLOCK RAM 資源是其塊大小的整數(shù)倍 。如?Xilinx公司的結構中每個 BRAM 有 36Kbit 的容量,既可以作為一個 36Kbit 的存儲器使用,也可以拆分為兩個獨立的

    2024年02月12日
    瀏覽(24)
  • FPGA — BRAM學習筆記—讀寫操作

    FPGA — BRAM學習筆記—讀寫操作

    使用軟件: Vivado 開發(fā)板: EGO1采用Xilinx Artix-7系列XC7A35T-1CSG324C FPGA BRAM 即塊 RAM, 是 FPGA 的固有硬件資源。 另一種形式的 RAM 是分布RAM(Distribution RAM), 是由 FPGA 邏輯資源查找表 LUT 拼起來的 。這兩種 RAM 最本質的區(qū)別是 塊RAM 默認輸入有寄存器 ,所以它在讀、寫使能信號后的 下個

    2024年02月01日
    瀏覽(54)
  • VIM 編輯器: Bram Moolenaar

    VIM 編輯器: Bram Moolenaar

    ??? VIM 用了很長時間, 個人的 VIM 配置文件差不多10年沒有更新了。以前寫程序的時候, 編輯都用這個。 linux kernel, boost規(guī)模的代碼都不在話下。現(xiàn)在雖然代碼寫的少了,依然是我打開文件的首選。 ??? 現(xiàn)在用手機了,配個藍牙鍵盤就可以隨時隨地擼代碼。 ??? 紀念下

    2024年02月13日
    瀏覽(20)
  • PS和PL使用BRAM進行數(shù)據(jù)交互

    PS和PL使用BRAM進行數(shù)據(jù)交互

    BRAM(Block RAM)是 PL 部分的存儲器陣列,PS 和 PL 通過對 BRAM 進行讀寫操作,來實現(xiàn)數(shù)據(jù)的交互。在 PL 中,通過輸出時鐘、地址、讀寫控制等信號來對 BRAM 進行讀寫操作。而在 PS 中,處理器并不需要直接驅動 BRAM 的端口,而是通過 AXI BRAM 控制器來對 BRAM 進行讀寫操作。AXI BR

    2024年02月02日
    瀏覽(24)
  • 【FPGA/數(shù)字IC】Multiport RAM,多讀多寫寄存器-——基于FPGA BRAM的多端口地址查找表與FPGA BRAM的資源分析

    【FPGA/數(shù)字IC】Multiport RAM,多讀多寫寄存器-——基于FPGA BRAM的多端口地址查找表與FPGA BRAM的資源分析

    目錄 背景 手寫Multiport Ram Multiport RAM 代碼方案 資源評估 Multiport RAM 資源利用的優(yōu)化 資源評估 防止讀寫沖突的組合邏輯設計(寫優(yōu)先) 仿真和時序 單口寫數(shù)據(jù) 單端口讀數(shù)據(jù) 多口讀相同數(shù)據(jù) 多口同時讀不同數(shù)據(jù) 背景 ????????在多端口交換機的設計中,交換機的每個端口

    2024年04月26日
    瀏覽(38)
  • [FPGA IP系列] 2分鐘了解FPGA中的BRAM

    [FPGA IP系列] 2分鐘了解FPGA中的BRAM

    FPGA設計中,BRAM是一項非常關鍵的內置存儲資源,F(xiàn)PGA開發(fā)需要熟練使用BRAM,今天再復習一下BRAM的知識,包括BRAM的定義、組成、應用等等。 RAM是Random Access Memory,也就是隨機訪問數(shù)據(jù)存儲器,RAM的內部是一個一個小內存單元(可以看成是一個小格子)組成。 每個內存單元都對應

    2024年02月12日
    瀏覽(30)
  • [FPGA IP系列] BRAM IP參數(shù)配置與使用示例

    [FPGA IP系列] BRAM IP參數(shù)配置與使用示例

    FPGA開發(fā)中使用頻率非常高的兩個IP就是FIFO和BRAM,上一篇文章中已經(jīng)詳細介紹了Vivado FIFO IP,今天我們來聊一聊BRAM IP。 本文將詳細介紹Vivado中BRAM IP的配置方式和使用技巧。 1、打開BRAM IP核 在Vivado的IP Catalog中找到Block Memory Generator IP核,雙擊打開參數(shù)配置界面。 2、配置BRAM I

    2024年02月04日
    瀏覽(22)
  • 【FPGA】AXI4-Lite總線讀寫B(tài)RAM

    【FPGA】AXI4-Lite總線讀寫B(tài)RAM

    AXI協(xié)議基礎知識 。這篇博客比較詳細地介紹了AXI總線,并且羅列了所有的通道和端口,寫代碼的時候可以方便地進行查表。 AXI總線,AXI_BRAM讀寫仿真測試 。 這篇文章為代碼的書寫提供大致的思路,比如狀態(tài)機和時序的控制問題,可以參考。 雙向握手機制的實質是: 數(shù)據(jù)接

    2024年02月15日
    瀏覽(17)
  • FPGA原理與結構(8)——塊RAM(Block RAM,BRAM)

    FPGA原理與結構(8)——塊RAM(Block RAM,BRAM)

    系列文章目錄:FPGA原理與結構(0)——目錄與傳送門 ? ? ? ? 大家對于RAM應該并不陌生,RAM就是一張可讀可寫的存儲表,它經(jīng)常被拿來與ROM進行對比,相比之下,ROM只可讀。而在FPGA中,RAM一般可以分成兩種,一種是使用LUT資源組成的分布式RAM(DRAM),另一種就是塊RAM(B

    2024年02月08日
    瀏覽(26)
  • 如何創(chuàng)建 Xilinx BRAM 或 ROM 初始化文件(.COE)并實現(xiàn)初始化數(shù)據(jù)在 FPGA 上的載入

    如何創(chuàng)建 Xilinx BRAM 或 ROM 初始化文件(.COE)并實現(xiàn)初始化數(shù)據(jù)在 FPGA 上的載入 在 FPGA 開發(fā)中,初始化數(shù)據(jù)是一個非常重要的內容,它決定了電路從上電開始的初始狀態(tài),對于保證正確性和可靠性有著至關重要的作用。其中,BRAM(Block RAM)和 ROM(Read-Only Memory)是兩種常見的

    2024年02月09日
    瀏覽(189)

覺得文章有用就打賞一下文章作者

支付寶掃一掃打賞

博客贊助

微信掃一掃打賞

請作者喝杯咖啡吧~博客贊助

支付寶掃一掃領取紅包,優(yōu)惠每天領

二維碼1

領取紅包

二維碼2

領紅包